Author Topic: Chip making process  (Read 26944 times)

0 Members and 1 Guest are viewing this topic.

Offline Wimberleytech

  • Super Contributor
  • ***
  • Posts: 1133
  • Country: us
Re: Chip making process
« Reply #100 on: October 17, 2018, 03:12:23 am »
Here is some data from anysilicon.com (2016). 180nm is under $100k.

 

Offline Wimberleytech

  • Super Contributor
  • ***
  • Posts: 1133
  • Country: us
Re: Chip making process
« Reply #101 on: October 17, 2018, 03:21:40 am »

Quote
Interesting. I wonder if there are any companies that still offer prototyping on larger processes using direct-write, because from the discussion I gather the bulk of the $$$ in IC prototyping is in the mask (why masks are so expensive is the other question I'm curious about --- is there a ton of human labour involved, and/or do they take a very long time to make?)

Building a plant to produce fine-line masks can cost $100 million.  Then you have to run it and depreciate it.
 

Offline ZeroResistanceTopic starter

  • Frequent Contributor
  • **
  • Posts: 585
  • Country: gb
Re: Chip making process
« Reply #102 on: October 17, 2018, 06:02:10 am »
Ok, so lets say Microchip wants to release a new 10F chip and its a 2mm^2 area per chip.
The would obviously have to get it made in a foundry.
What kind of costs would be they be looking at.
For the masks, and for getting it made at the foundry. Would Microchip need to place an order for 1 million pcs of the chip?
How do these things work out, will the foundry charge by area of silicon, that would me 2 million mm^2 of area.
Packaging would be a seperate expense right?

Here is ballpark based on what a 0.35um flash silicided poly, 4 layers of metal, with cap poly and poly resistor options
Mask costs not included here.

Can you elaborate how you arrived to final cost of USD 0.68. is that the final cost of a packaged chip?
Also you have taken wafer cost as USD 1600 is that the cost of wafer for 0.35um process and for a quantitly of 1000 wafers / month. I mean the wafer cost would change right for a 0.18um process?
 

Offline ZeroResistanceTopic starter

  • Frequent Contributor
  • **
  • Posts: 585
  • Country: gb
Re: Chip making process
« Reply #103 on: October 17, 2018, 06:20:11 am »
These are wafer cost's from year 2014




for 200mm 0.35u it shows as USD 460.

Taken from http://www.icinsights.com/news/bulletins/LeadingEdge-IC-Foundry-Market-Forecast-To-Increase-72-In-2014/
 

Offline Kjelt

  • Super Contributor
  • ***
  • Posts: 6459
  • Country: nl
Re: Chip making process
« Reply #104 on: October 17, 2018, 07:25:04 am »
why masks are so expensive is the other question I'm curious about --- is there a ton of human labour involved, and/or do they take a very long time to make?)
I was curious about this to. AFAIK with modern low nm processes the costs for a reticle are in the specialized software and processing time to create the reticles.
It is no longer a simple question of " I want an unexposed square form on my wafer so the reticle has a square black shape on it 4 times bigger than on the wafer".
You need to take into account the UV laserlight which is absorbed by glass so you need special glass, phase shifts, lens deviations, abberations on the edge, reflections and I don't know what more. If you look at the finished reticle you don't recognize the original pattern but it is what you finally get on the wafer. There are lots and lots of raytracing and calculations needed, and ofcourse the accurate creation of the reticle, even 4 times bigger you still need 10's of nm accuracy on your pattern. IIRC it takes at least a month to create a complete reticle set.

Just an example of a company: http://www.photronics.com/plab/phase-shift-masks-eapsm/
« Last Edit: October 17, 2018, 07:31:26 am by Kjelt »
 

Offline srce

  • Regular Contributor
  • *
  • Posts: 175
  • Country: gb
Re: Chip making process
« Reply #105 on: October 17, 2018, 09:09:37 am »
I've heard that there's no choice on multi-project runs as they are different size and shapes packed in and sawing up the wafer to extract some of the dice destroys other nearby ones. It's cheaper to pack them in and make multiple wafers than to align everything in rows and columns the size of the largest die in each row/column plus margin for the saw.
On educational projects at MOSIS, they have more restrictive rules.  For commercial MPW projects, you set the size of the chip.  They will often build it slightly larger, to accommodate the sawing operation, but charge you by YOUR specified dimensions.  But, all our chips came out about the size we specified.
They obviously have some special tricks they use in the sawing operation to make this work.
It depends on the foundry, but quite often, you pay per fixed block size, regardless of the size of your die. The block sizes specified by MOSIS and Europractice are just based on what the foundry offer, except that they sometimes they further subdivide them (E.g. Europractice's mini@sics).



 

Offline srce

  • Regular Contributor
  • *
  • Posts: 175
  • Country: gb
Re: Chip making process
« Reply #106 on: October 17, 2018, 09:20:00 am »
These are wafer cost's from year 2014




for 200mm 0.35u it shows as USD 460.

Taken from http://www.icinsights.com/news/bulletins/LeadingEdge-IC-Foundry-Market-Forecast-To-Increase-72-In-2014/


Here's the figures for this year



But you probably aren't going to see prices like that.  :P


 
The following users thanked this post: ZeroResistance

Offline ZeroResistanceTopic starter

  • Frequent Contributor
  • **
  • Posts: 585
  • Country: gb
Re: Chip making process
« Reply #107 on: October 17, 2018, 11:00:12 am »
But you probably aren't going to see prices like that.  :P

How much would you multiply the $ figures with to get a realistic value. And here I'm talking about regular foundry orders and not MPW.
 

Online coppice

  • Super Contributor
  • ***
  • Posts: 8605
  • Country: gb
Re: Chip making process
« Reply #108 on: October 17, 2018, 11:48:23 am »
Here's the figures for this year



But you probably aren't going to see prices like that.  :P
There are two issues to note with those prices:
  • They are baseline prices for the simplest of logic wafers. If you are doing ultra low power, or mixed signal, or anything above minimal CMOS logic, there are more masks, more processing steps, and more cost.
  • They are for customers with high run rates, and huge amounts of in house expertise, whose customer service costs are the lowest possible.
.
 

Offline ZeroResistanceTopic starter

  • Frequent Contributor
  • **
  • Posts: 585
  • Country: gb
Re: Chip making process
« Reply #109 on: October 18, 2018, 03:45:18 am »
So at the lowest level which among these can have the lowest feature size, diode, BJT, N Mosfet, P Mosfet. I mean in what order are the sizes of all these compos at the fabrication level?
 

Offline TheUnnamedNewbie

  • Super Contributor
  • ***
  • Posts: 1208
  • Country: 00
  • mmwave RFIC/antenna designer
Re: Chip making process
« Reply #110 on: October 18, 2018, 04:40:16 am »
So at the lowest level which among these can have the lowest feature size, diode, BJT, N Mosfet, P Mosfet. I mean in what order are the sizes of all these compos at the fabrication level?


This depends on what you mean when you say 'lowest feature size'. I'd say a diode, since you pretty much form two diodes every time you make a MOS. In the CMOS technologies I have seen, the PMOS and NMOS device are the same size. The difference is that one of the two has to be placed in a well (usually the P-type device as we build on a p-substrate, so we first need to make an N-well). This makes the smallest possible isolated PMOS a bit bigger than the equivalent NMOS. But for analog design (and RF design even more so) we care mostly about the gate length, as that is one of the main factors in the electrical performance, and, in general, a shorter gate means a better transistor (but also a lower-voltage rated one - just a volt or less on the smallest of technologies).
The best part about magic is when it stops being magic and becomes science instead

"There was no road, but the people walked on it, and the road came to be, and the people followed it, for the road took the path of least resistance"
 
The following users thanked this post: ZeroResistance

Offline Kjelt

  • Super Contributor
  • ***
  • Posts: 6459
  • Country: nl
Re: Chip making process
« Reply #111 on: October 18, 2018, 08:44:13 am »
So at the lowest level which among these can have the lowest feature size, diode, BJT, N Mosfet, P Mosfet. I mean in what order are the sizes of all these compos at the fabrication level?
IIRC the conductivity of the P doping material (Boron i believe) is two to three times less than the N doping material (phosphor) which will result for the same current in a two to three time larger surface. This I guess is the reason the Power Fets these days are dominantly NFets ?  :-//
 

Offline srce

  • Regular Contributor
  • *
  • Posts: 175
  • Country: gb
Re: Chip making process
« Reply #112 on: October 18, 2018, 11:56:16 am »
So at the lowest level which among these can have the lowest feature size, diode, BJT, N Mosfet, P Mosfet. I mean in what order are the sizes of all these compos at the fabrication level?
That depends on the process (and I guess what you mean by feature size). Here's the layout for the smallest pmos, nmos, diode and pnp in a 65nm CMOS process.

 
The following users thanked this post: ZeroResistance

Offline amyk

  • Super Contributor
  • ***
  • Posts: 8240
Re: Chip making process
« Reply #113 on: October 18, 2018, 12:14:34 pm »
and I guess what you mean by feature size
This is important to call out because transistors on a IC do not exist as discrete units; they're effectively formed from the interaction between the different layers. You can see that even with something like this 4004 which uses a very old process: http://alumni.media.mit.edu/~mcnerney/2009-4004/4004-masks-composite.jpg
 

Offline Jackson

  • Contributor
  • Posts: 15
  • Country: us
Re: Chip making process
« Reply #114 on: October 18, 2018, 12:20:52 pm »
So at the lowest level which among these can have the lowest feature size, diode, BJT, N Mosfet, P Mosfet. I mean in what order are the sizes of all these compos at the fabrication level?
IIRC the conductivity of the P doping material (Boron i believe) is two to three times less than the N doping material (phosphor) which will result for the same current in a two to three time larger surface. This I guess is the reason the Power Fets these days are dominantly NFets ?  :-//

Correct - for Silicon, hole mobility is 2-3x lower than it is for electron mobility, hence the rule of thumb to size up your PMOS transistor width to get equivalent current flow. If you're dealing with non-Silicon processes it will be different.
 

Offline ZeroResistanceTopic starter

  • Frequent Contributor
  • **
  • Posts: 585
  • Country: gb
Re: Chip making process
« Reply #115 on: October 18, 2018, 12:28:44 pm »
So at the lowest level which among these can have the lowest feature size, diode, BJT, N Mosfet, P Mosfet. I mean in what order are the sizes of all these compos at the fabrication level?
That depends on the process (and I guess what you mean by feature size). Here's the layout for the smallest pmos, nmos, diode and pnp in a 65nm CMOS process.

This is simply amazing.
The PMOS and NMOS look similar sizes, the PMOS was supposed to be bigger right?
The diode seems to be bigger than the PMOS and NMOS, that was supposed to be the smallest.
And what is PNP that looks quite big?
 

Offline Kjelt

  • Super Contributor
  • ***
  • Posts: 6459
  • Country: nl
Re: Chip making process
« Reply #116 on: October 18, 2018, 12:44:44 pm »
This is simply amazing.
The PMOS and NMOS look similar sizes, the PMOS was supposed to be bigger right?
Yes for the SAME current, in this case they are probably just "digital signal" fets for logical gates that do not need to source much current.
 

Offline Kjelt

  • Super Contributor
  • ***
  • Posts: 6459
  • Country: nl
Re: Chip making process
« Reply #117 on: October 18, 2018, 12:49:35 pm »
 
The following users thanked this post: ZeroResistance

Offline srce

  • Regular Contributor
  • *
  • Posts: 175
  • Country: gb
Re: Chip making process
« Reply #118 on: October 18, 2018, 01:09:18 pm »
So at the lowest level which among these can have the lowest feature size, diode, BJT, N Mosfet, P Mosfet. I mean in what order are the sizes of all these compos at the fabrication level?
That depends on the process (and I guess what you mean by feature size). Here's the layout for the smallest pmos, nmos, diode and pnp in a 65nm CMOS process.

This is simply amazing.
The PMOS and NMOS look similar sizes, the PMOS was supposed to be bigger right?
They're the same size. The minimum gate size for both is the same: L=60nm, W=120nm (but note the total area of the transistor is much bigger than this!) However, if you were making an inverter, due to the difference in carrier mobility, you might choose to make the PMOS bigger, to get similar rise/fall times, but you don't have to.

The diode seems to be bigger than the PMOS and NMOS, that was supposed to be the smallest.
It depends what you're actually measuring and how "good" a diode you want.

And what is PNP that looks quite big?
It's a vertical PNP BJT. It's a CMOS process, so the BJTs aren't great. If BJTs are what you're interested in, you need to look at BiCMOS or Bipolar process.


« Last Edit: October 18, 2018, 01:16:36 pm by srce »
 
The following users thanked this post: ZeroResistance

Offline ZeroResistanceTopic starter

  • Frequent Contributor
  • **
  • Posts: 585
  • Country: gb
Re: Chip making process
« Reply #119 on: October 19, 2018, 05:28:47 am »
That depends on the process (and I guess what you mean by feature size). Here's the layout for the smallest pmos, nmos, diode and pnp in a 65nm CMOS process.

BTW, what software is that?, are there any softwares where I can play around with I mean free ones. I have heard of Magic, ChipVault etc. will they do what you have shown in the image?
 

Offline srce

  • Regular Contributor
  • *
  • Posts: 175
  • Country: gb
Re: Chip making process
« Reply #120 on: October 19, 2018, 08:29:58 am »
That depends on the process (and I guess what you mean by feature size). Here's the layout for the smallest pmos, nmos, diode and pnp in a 65nm CMOS process.

BTW, what software is that?, are there any softwares where I can play around with I mean free ones. I have heard of Magic, ChipVault etc. will they do what you have shown in the image?
The major custom design package is Cadence Virtuoso. Also look at Synopsys Custom Compiler and Tanner AMS, which are less popular, but cheaper. What will really drive your selection will probably be what PDKs are available from the foundry you want to use, as they do not work with all tools.

Magic is just a layout tool, I believe, and you need to draw everything by hand. The above have schematic driven layout using parameterizable cells, auto-routing and realtime DRC and simulation environments, etc. They're expensive, but if you're serious  about IC design, then you'll need them.
 

Offline ZeroResistanceTopic starter

  • Frequent Contributor
  • **
  • Posts: 585
  • Country: gb
Re: Chip making process
« Reply #121 on: October 19, 2018, 10:41:17 am »
That depends on the process (and I guess what you mean by feature size). Here's the layout for the smallest pmos, nmos, diode and pnp in a 65nm CMOS process.

BTW, what software is that?, are there any softwares where I can play around with I mean free ones. I have heard of Magic, ChipVault etc. will they do what you have shown in the image?
The major custom design package is Cadence Virtuoso. Also look at Synopsys Custom Compiler and Tanner AMS, which are less popular, but cheaper. What will really drive your selection will probably be what PDKs are available from the foundry you want to use, as they do not work with all tools.

Magic is just a layout tool, I believe, and you need to draw everything by hand. The above have schematic driven layout using parameterizable cells, auto-routing and realtime DRC and simulation environments, etc. They're expensive, but if you're serious  about IC design, then you'll need them.
ok! so did you place those components in Cadence Virtuoso?
 

Offline srce

  • Regular Contributor
  • *
  • Posts: 175
  • Country: gb
Re: Chip making process
« Reply #122 on: October 19, 2018, 11:16:17 am »
This is Virtuoso - this time for a 28nm process - showing an NMOS, PMOS and VPNP as both schematic and layout.



 
The following users thanked this post: ZeroResistance

Offline ZeroResistanceTopic starter

  • Frequent Contributor
  • **
  • Posts: 585
  • Country: gb
Re: Chip making process
« Reply #123 on: October 19, 2018, 11:48:24 am »
This is Virtuoso - this time for a 28nm process - showing an NMOS, PMOS and VPNP as both schematic and layout.

This is mind boggling! Many thanks again!
The PNP is gigantic.
The FETs in the symbols seem like JFET's so do they use JFET or MOSFET at the lowest level? I guess recently they have started with  FinFet's..
 

Offline amyk

  • Super Contributor
  • ***
  • Posts: 8240
Re: Chip making process
« Reply #124 on: October 19, 2018, 11:54:31 am »
People have successfully used free tools like MAGIC to make ICs:

https://www.planetanalog.com/author.asp?section_id=526&doc_id=559519

There is analogy with PCB design, in that you can use a lot of different software but in the end the fab only needs the graphics for each layer, just like with PCB gerbers.
 


Share me

Digg  Facebook  SlashDot  Delicious  Technorati  Twitter  Google  Yahoo
Smf