Author Topic: Chip making process  (Read 27420 times)

0 Members and 1 Guest are viewing this topic.

Offline Wimberleytech

  • Super Contributor
  • ***
  • Posts: 1133
  • Country: us
Re: Chip making process
« Reply #75 on: October 16, 2018, 05:50:58 pm »

Quote
Do foundries have a minimum wafer quantity. I mean wemberlytech showed cost of a wafer as USD 1600. So do the foundries expect you to order something like 100 wafers.
And if each wafer has 15000 dies on it. Do the foundries also charge you per die on that wafer.
I guess it should have been area based, if a wafer is 200mm in dia that comes to 31400mm^2. So Do they charge on area of silicon?
Foundry does not care how many die.  They charge by the wafer.
 

Offline ZeroResistanceTopic starter

  • Frequent Contributor
  • **
  • Posts: 585
  • Country: gb
Re: Chip making process
« Reply #76 on: October 16, 2018, 06:01:12 pm »

To work with a foundry, you will have to commit to many thousands of wafers in production.
So did you have to commit for like 5000 wafers?
 

Offline Richard Crowley

  • Super Contributor
  • ***
  • Posts: 4317
  • Country: us
  • KJ7YLK
Re: Chip making process
« Reply #77 on: October 16, 2018, 06:03:01 pm »
Whats the problem in soldering the die directly to a pcb? are the bond pads too small that they can't be soldered directly to the board, similar to a LGA package? And then pour epoxy on it similar to a COB package. Like many chinese items have.

Depends on what you mean by "soldering".  If you mean using a spool of solder and a soldering iron, forget it.  You are off by a couple orders of magnitude. Your solder will cover 10-20 pads at a time.  For "Chip-on-Board" (COB), they use the same microscopic bond-wire and ultrasonic welding that was used for decades for chip packages.  Then they put a blob of epoxy over the whole thing to protect the die and bond-wires.



In more recent times a process called "flip-chip" is used where pyramids of solder are deposited on the bond-pads.  Then the die is flipped over to face a PC board and the die and board are heated to re-flow the solder to connect all the pads to the board.  In some cases, the raw die is left exposed.  There are YouTube videos showing one of the flip-chips on the Raspberry Pi which turned out to be light-sensitive because it was unprotected and exposed to ambient light.  People taking flash photos would inadvertently reset (or some other problem?) the circuit by the flash of light.

Modern CPUs are packaged this way.  The CPU product is just a small PC board with the die bonded on top, and then a heat-spreader bonded on top of the chip. Frequently decoupling SMD capacitors are on the opposite "bottom" side of the CPU board to get them as close to the chip as possible.  Then all the external connections are implemented with gold-plated pins (Pin-Grid-Array PGA) or lands (Land-Grid-Array LGA)

 
The following users thanked this post: ZeroResistance

Offline Wimberleytech

  • Super Contributor
  • ***
  • Posts: 1133
  • Country: us
Re: Chip making process
« Reply #78 on: October 16, 2018, 06:08:33 pm »

To work with a foundry, you will have to commit to many thousands of wafers in production.
So did you have to commit for like 5000 wafers?
That is a detail I do not recall.  I would think at least 1000 wafers/month.  A foundry is in the speculation business.  They will make a bet on your viability.  If they believe in your business plan, they will be willing to do much smaller quantities while betting on the future large volumes.
 

Offline jmelson

  • Super Contributor
  • ***
  • Posts: 2765
  • Country: us
Re: Chip making process
« Reply #79 on: October 16, 2018, 06:10:53 pm »
So what is the terminology is a reticle = a mask?
Way back when, the masks were made to expose the entire wafer in one shot.  That worked up to 50 mm or so wafers.  As wafers got bigger, and dimensions got smaller, they had to go from printing the whole wafer at once, to aligning a smaller reticle onto alignment marks on the wafer, and then step and repeat across the wafer.  The process we have been using uses reticles about 35 mm wide.

So, today, a reticle has some number of chips that are aligned and imaged onto the wafer at one time.  Then, the process is repeated across the wafer.

Jon
 

Offline coppice

  • Super Contributor
  • ***
  • Posts: 8646
  • Country: gb
Re: Chip making process
« Reply #80 on: October 16, 2018, 06:14:11 pm »

To work with a foundry, you will have to commit to many thousands of wafers in production.
So did you have to commit for like 5000 wafers?
That is a detail I do not recall.  I would think at least 1000 wafers/month.  A foundry is in the speculation business.  They will make a bet on your viability.  If they believe in your business plan, they will be willing to do much smaller quantities while betting on the future large volumes.
A foundry will happily process a single wafer for you. The price won't be the same as when you run a thousand wafers a month, though.
 

Offline ZeroResistanceTopic starter

  • Frequent Contributor
  • **
  • Posts: 585
  • Country: gb
Re: Chip making process
« Reply #81 on: October 16, 2018, 06:17:46 pm »

To work with a foundry, you will have to commit to many thousands of wafers in production.
So did you have to commit for like 5000 wafers?
That is a detail I do not recall.  I would think at least 1000 wafers/month.  A foundry is in the speculation business.  They will make a bet on your viability.  If they believe in your business plan, they will be willing to do much smaller quantities while betting on the future large volumes.
Ok so that makes it 1000 * $1600  per wafer or USD 1.6M per month. But you also do get 15000 dies per wafer or 15000 * 1000 = 15M dies per month.
So per die cost is 15000/1600 that is around $0.11. Seems to be pretty good for a 10F.
But Microchip need to have assured sales right for it to be profitable?
Another issue for Microchip 15M chips per month is a lot, how will they sell that much, they may probably have another deal with the foundry?
« Last Edit: October 16, 2018, 06:20:11 pm by ZeroResistance »
 

Offline jmelson

  • Super Contributor
  • ***
  • Posts: 2765
  • Country: us
Re: Chip making process
« Reply #82 on: October 16, 2018, 06:20:43 pm »
You will get the dies from Europractice in a little tray like this:

Whats the problem in soldering the die directly to a pcb? are the bond pads too small that they can't be soldered directly to the board, similar to a LGA package? And then pour epoxy on it similar to a COB package. Like many chinese items have.
The bonding pads are something like 40 um square and aluminum.  Not likely you can solder to that!

Jon

 

Offline coppice

  • Super Contributor
  • ***
  • Posts: 8646
  • Country: gb
Re: Chip making process
« Reply #83 on: October 16, 2018, 06:22:13 pm »
Do foundries have a minimum wafer quantity. I mean wemberlytech showed cost of a wafer as USD 1600. So do the foundries expect you to order something like 100 wafers.
And if each wafer has 15000 dies on it. Do the foundries also charge you per die on that wafer.
I guess it should have been area based, if a wafer is 200mm in dia that comes to 31400mm^2. So Do they charge on area of silicon?
In general a foundry doesn't care what is on a wafer. They just process the wafer according to the mask set, ship it to the customer, and charge the agreed wafer price. If the wafer processing went OK, and the number of good die is pretty much in line with expectations, that's the end of the story. If the wafer wasn't processed well, and the yield of good die is low, arguments may ensue. If a large number of wafers have a low yield these arguments might get rather heated.  :)
 

Offline jmelson

  • Super Contributor
  • ***
  • Posts: 2765
  • Country: us
Re: Chip making process
« Reply #84 on: October 16, 2018, 06:25:03 pm »
You need to test a wafer, to locate the good dies. Then you package the good dies. Finally you need to test again, to make sure the packaging went OK.
If a wafer has 100 dies on it what would be the typically percentage of good dies?
On one of our designs, we got close to 100% yield, on another we got more like 60%, although the issues were subtle.  That problem was a threshold shift in a chain of amplifiers, a bit of a risky design.

Jon
 

Offline Wimberleytech

  • Super Contributor
  • ***
  • Posts: 1133
  • Country: us
Re: Chip making process
« Reply #85 on: October 16, 2018, 06:25:28 pm »

To work with a foundry, you will have to commit to many thousands of wafers in production.
So did you have to commit for like 5000 wafers?
That is a detail I do not recall.  I would think at least 1000 wafers/month.  A foundry is in the speculation business.  They will make a bet on your viability.  If they believe in your business plan, they will be willing to do much smaller quantities while betting on the future large volumes.
A foundry will happily process a single wafer for you. The price won't be the same as when you run a thousand wafers a month, though.
Have you ever had a foundry run a single wafer for you?
 

Offline jmelson

  • Super Contributor
  • ***
  • Posts: 2765
  • Country: us
Re: Chip making process
« Reply #86 on: October 16, 2018, 06:28:37 pm »
Do the foundries also charge you per die on that wafer.
There is a cost for the wafer sawing (called singulation), so in large volume, that might be a separate charge.

Jon
 

Offline coppice

  • Super Contributor
  • ***
  • Posts: 8646
  • Country: gb
Re: Chip making process
« Reply #87 on: October 16, 2018, 06:29:32 pm »

To work with a foundry, you will have to commit to many thousands of wafers in production.
So did you have to commit for like 5000 wafers?
That is a detail I do not recall.  I would think at least 1000 wafers/month.  A foundry is in the speculation business.  They will make a bet on your viability.  If they believe in your business plan, they will be willing to do much smaller quantities while betting on the future large volumes.
A foundry will happily process a single wafer for you. The price won't be the same as when you run a thousand wafers a month, though.
Have you ever had a foundry run a single wafer for you?
They regularly run a single wafer from a mask set, but you might have a point. I don't actually know anyone who went to a foundry and only did one wafer of business with them.
 

Offline jmelson

  • Super Contributor
  • ***
  • Posts: 2765
  • Country: us
Re: Chip making process
« Reply #88 on: October 16, 2018, 06:32:10 pm »

To work with a foundry, you will have to commit to many thousands of wafers in production.
So did you have to commit for like 5000 wafers?
That is a detail I do not recall.  I would think at least 1000 wafers/month.  A foundry is in the speculation business.  They will make a bet on your viability.  If they believe in your business plan, they will be willing to do much smaller quantities while betting on the future large volumes.
Ok so that makes it 1000 * $1600  per wafer or USD 1.6M per month. But you also do get 15000 dies per wafer or 15000 * 1000 = 15M dies per month.
So per die cost is 15000/1600 that is around $0.11. Seems to be pretty good for a 10F.
But Microchip need to have assured sales right for it to be profitable?
Another issue for Microchip 15M chips per month is a lot, how will they sell that much, they may probably have another deal with the foundry?
But, DO NOT FORGET, your mask set costs you about $1 million - maybe even a lot more!

Jon
 

Offline jmelson

  • Super Contributor
  • ***
  • Posts: 2765
  • Country: us
Re: Chip making process
« Reply #89 on: October 16, 2018, 06:34:51 pm »
They regularly run a single wafer from a mask set, but you might have a point. I don't actually know anyone who went to a foundry and only did one wafer of business with them.
I believe MOSIS typically runs about 3-5 wafers for their multi-project runs.  That is a bit of insurance against goofs at the foundry.  The cost of the extra wafers TOTALLY disappears compared to the cost of the masks.

Jon
 

Offline coppice

  • Super Contributor
  • ***
  • Posts: 8646
  • Country: gb
Re: Chip making process
« Reply #90 on: October 16, 2018, 06:35:52 pm »
Ok so that makes it 1000 * $1600  per wafer or USD 1.6M per month. But you also do get 15000 dies per wafer or 15000 * 1000 = 15M dies per month.
So per die cost is 15000/1600 that is around $0.11. Seems to be pretty good for a 10F.
But Microchip need to have assured sales right for it to be profitable?
Another issue for Microchip 15M chips per month is a lot, how will they sell that much, they may probably have another deal with the foundry?
But, DO NOT FORGET, your mask set costs you about $1 million - maybe even a lot more!
His figures are for a 350nm process. Masks for 350nm processes are not $1M.
 

Offline coppice

  • Super Contributor
  • ***
  • Posts: 8646
  • Country: gb
Re: Chip making process
« Reply #91 on: October 16, 2018, 06:38:43 pm »
They regularly run a single wafer from a mask set, but you might have a point. I don't actually know anyone who went to a foundry and only did one wafer of business with them.
I believe MOSIS typically runs about 3-5 wafers for their multi-project runs.  That is a bit of insurance against goofs at the foundry.  The cost of the extra wafers TOTALLY disappears compared to the cost of the masks.
Sure, it makes no rational sense to process just one wafer after spending a fortune to make a mask set. Back in the 80s, in the 2um era, direct e-beam written wafers were much saner as one offs.
 

Offline Wimberleytech

  • Super Contributor
  • ***
  • Posts: 1133
  • Country: us
Re: Chip making process
« Reply #92 on: October 16, 2018, 07:03:45 pm »

To work with a foundry, you will have to commit to many thousands of wafers in production.
So did you have to commit for like 5000 wafers?
That is a detail I do not recall.  I would think at least 1000 wafers/month.  A foundry is in the speculation business.  They will make a bet on your viability.  If they believe in your business plan, they will be willing to do much smaller quantities while betting on the future large volumes.
A foundry will happily process a single wafer for you. The price won't be the same as when you run a thousand wafers a month, though.
Have you ever had a foundry run a single wafer for you?
They regularly run a single wafer from a mask set, but you might have a point. I don't actually know anyone who went to a foundry and only did one wafer of business with them.
A foundry (e.g., TSMC) will run a prototype lot (generally six wafers) with the expectation that it will turn into production after validation.  They have billions invested in the foundry.  They cannot run a single wafer here and there for somebody doing a science project.
 

Offline Wimberleytech

  • Super Contributor
  • ***
  • Posts: 1133
  • Country: us
Re: Chip making process
« Reply #93 on: October 16, 2018, 07:07:58 pm »
Ok so that makes it 1000 * $1600  per wafer or USD 1.6M per month. But you also do get 15000 dies per wafer or 15000 * 1000 = 15M dies per month.
So per die cost is 15000/1600 that is around $0.11. Seems to be pretty good for a 10F.
But Microchip need to have assured sales right for it to be profitable?
Another issue for Microchip 15M chips per month is a lot, how will they sell that much, they may probably have another deal with the foundry?
But, DO NOT FORGET, your mask set costs you about $1 million - maybe even a lot more!
His figures are for a 350nm process. Masks for 350nm processes are not $1M.
Correct.  I think a mask set was on the order of $50K.
For a anything under 60nm, $1M may be close.
 

Online brucehoult

  • Super Contributor
  • ***
  • Posts: 4036
  • Country: nz
Re: Chip making process
« Reply #94 on: October 16, 2018, 10:03:50 pm »
They regularly run a single wafer from a mask set, but you might have a point. I don't actually know anyone who went to a foundry and only did one wafer of business with them.
I believe MOSIS typically runs about 3-5 wafers for their multi-project runs.  That is a bit of insurance against goofs at the foundry.  The cost of the extra wafers TOTALLY disappears compared to the cost of the masks.

I've heard that there's no choice on multi-project runs as they are different size and shapes packed in and sawing up the wafer to extract some of the dice destroys other nearby ones. It's cheaper to pack them in and make multiple wafers than to align everything in rows and columns the size of the largest die in each row/column plus margin for the saw.
« Last Edit: October 16, 2018, 10:06:06 pm by brucehoult »
 

Offline jmelson

  • Super Contributor
  • ***
  • Posts: 2765
  • Country: us
Re: Chip making process
« Reply #95 on: October 17, 2018, 01:00:24 am »

Correct.  I think a mask set was on the order of $50K.
For a anything under 60nm, $1M may be close.
I assure you, TODAY, a CMOS mask set at 350 nm is going to be WAY more than $50K.  Maybe a simple, all-digital mask set might go for $200K, but for mixed-signal, there will be a bunch more layers, so it bumps up the price.  I think MOSIS has their masks done in the US, so that may affect the price.

Jon
 

Offline jmelson

  • Super Contributor
  • ***
  • Posts: 2765
  • Country: us
Re: Chip making process
« Reply #96 on: October 17, 2018, 01:05:21 am »
I've heard that there's no choice on multi-project runs as they are different size and shapes packed in and sawing up the wafer to extract some of the dice destroys other nearby ones. It's cheaper to pack them in and make multiple wafers than to align everything in rows and columns the size of the largest die in each row/column plus margin for the saw.
On educational projects at MOSIS, they have more restrictive rules.  For commercial MPW projects, you set the size of the chip.  They will often build it slightly larger, to accommodate the sawing operation, but charge you by YOUR specified dimensions.  But, all our chips came out about the size we specified.
They obviously have some special tricks they use in the sawing operation to make this work.

Jon
 

Offline Wimberleytech

  • Super Contributor
  • ***
  • Posts: 1133
  • Country: us
Re: Chip making process
« Reply #97 on: October 17, 2018, 01:28:26 am »

Correct.  I think a mask set was on the order of $50K.
For a anything under 60nm, $1M may be close.
I assure you, TODAY, a CMOS mask set at 350 nm is going to be WAY more than $50K.  Maybe a simple, all-digital mask set might go for $200K, but for mixed-signal, there will be a bunch more layers, so it bumps up the price.  I think MOSIS has their masks done in the US, so that may affect the price.

Jon
Well, I pulled out my notebook from 1999.  16 masks DPTM was $50K.  Addition for an extra metal layer.  Today??  Dunno.  Rather doubt your $200K number.  But I have some real-time connections...I will see.
 

Offline amyk

  • Super Contributor
  • ***
  • Posts: 8275
Re: Chip making process
« Reply #98 on: October 17, 2018, 02:58:24 am »
Sure, it makes no rational sense to process just one wafer after spending a fortune to make a mask set. Back in the 80s, in the 2um era, direct e-beam written wafers were much saner as one offs.
https://en.wikipedia.org/wiki/Maskless_lithography

Interesting. I wonder if there are any companies that still offer prototyping on larger processes using direct-write, because from the discussion I gather the bulk of the $$$ in IC prototyping is in the mask (why masks are so expensive is the other question I'm curious about --- is there a ton of human labour involved, and/or do they take a very long time to make?)
 

Offline coppice

  • Super Contributor
  • ***
  • Posts: 8646
  • Country: gb
Re: Chip making process
« Reply #99 on: October 17, 2018, 03:02:30 am »
Sure, it makes no rational sense to process just one wafer after spending a fortune to make a mask set. Back in the 80s, in the 2um era, direct e-beam written wafers were much saner as one offs.
https://en.wikipedia.org/wiki/Maskless_lithography

Interesting. I wonder if there are any companies that still offer prototyping on larger processes using direct-write, because from the discussion I gather the bulk of the $$$ in IC prototyping is in the mask (why masks are so expensive is the other question I'm curious about --- is there a ton of human labour involved, and/or do they take a very long time to make?)
The bulk of your external purchasing costs are software tools and mask costs. However, the bulk of the money you spend is on the engineering work itself. If you are worried about $100k to $200k for a mask set for a medium geometry process (350nm to 180nm), you certainly won't be able to afford to salary bill.
 


Share me

Digg  Facebook  SlashDot  Delicious  Technorati  Twitter  Google  Yahoo
Smf