Author Topic: Rigol vs SCPI: Rigol Loses?  (Read 2575 times)

0 Members and 1 Guest are viewing this topic.

Offline bushmgTopic starter

  • Newbie
  • Posts: 2
Rigol vs SCPI: Rigol Loses?
« on: February 13, 2016, 02:56:05 am »
 Some time ago, I bought a few Rigol instruments (DSA815, DM3068, DP832A, DS1104Z, and DG1032).  I am just now getting around to learning Labview and exploring how to use the VISA drivers to control the instruments (the DM3068 meter and DP832A power supply, specifically).  Everything is going great until I try to use the status registers (SBR and SESR) to synchronize stuff and none of the techniques works! 

I follow the NI tutorials to the letter, even use their simple example VIs.  Every time I read the SBR (via *STB?) it remains zero, so any While-Loop that tests the bits (RQS, ESB, or MAV) never exit.  Other basic set and read commands do work, so I can insert time delays as needed to work around the problem, but that's so inelegant!

Has anyone else experienced something like this, or am I missing some piece of the puzzle?

Thanks!
Mike
 

Offline Karel

  • Super Contributor
  • ***
  • Posts: 2214
  • Country: 00
Re: Rigol vs SCPI: Rigol Loses?
« Reply #1 on: February 14, 2016, 08:51:32 am »
I am just now getting around to learning Labview ...

Don't waste your time.

https://www.google.com/?pws=0#pws=0&q=why+i+hate+labview

Everything is going great until I try to use the status registers (SBR and SESR) to synchronize stuff and none of the techniques works! 

I follow the NI tutorials to the letter, even use their simple example VIs.  Every time I read the SBR (via *STB?) it remains zero, so any While-Loop that tests the bits (RQS, ESB, or MAV) never exit.  Other basic set and read commands do work, so I can insert time delays as needed to work around the problem, but that's so inelegant!

Welcome to the magic world called "Rigol firmware". Use the inelegant approach and insert time delays.

If you have a lot of free time, document all bugs and report them to Rigol. If they don't respond, repeat sending the bugreports
every three working days till you have received a supportticket and the name of the assigned engineer.
Don't expect them to fix it speedy...


 


Share me

Digg  Facebook  SlashDot  Delicious  Technorati  Twitter  Google  Yahoo
Smf