Author Topic: Books on Verilog/VHDL  (Read 17132 times)

0 Members and 1 Guest are viewing this topic.

Offline miguelvp

  • Super Contributor
  • ***
  • Posts: 5550
  • Country: us
Re: Books on Verilog/VHDL
« Reply #25 on: May 08, 2014, 02:20:55 am »
It's been done, google P.A.C.E "Programmable Arcade Circuit Emulation"
But their forum is broken at the moment.  I'm porting it to two new dev boards at the moment.

ooh neat.  can you PM me your progress? I'd rather not derail this thread further...

PM sent with as much info as I could fit in there :)
 

Offline maxslug

  • Newbie
  • Posts: 5
  • Country: us
    • Nikon Hacker
Re: Books on Verilog/VHDL
« Reply #26 on: May 08, 2014, 04:21:30 am »
My $0.02.   You see a lot of copies of "Verilog HDL" by Palnitkar on people's desks.  I have a copy myself.   It teaches you the syntax of verilog 2000, but it otherwise is awful.

I recently got this one  : http://www.amazon.com/dp/1482593335/ref=wl_it_dp_o_pC_nS_ttl?_encoding=UTF8&colid=2QB68NXFDIJOH&coliid=I32COIDXH3KYX4  and think it's great.   It teaches you digital design constructs at the same time as teaching you HDL.  I just leant it to a coworker who wanted to learn Verilog.

As for VHDL vs Verilog :  I've learned both, but note that there are an order of magnitude more job listings with Verilog than VHDL.
That would sway me into the Verilog camp unless I was going to work in a VHDL shop or on a specific project.

-m
Chip Designer and Janitor of https://nikonhacker.com
 

Offline miguelvp

  • Super Contributor
  • ***
  • Posts: 5550
  • Country: us
Re: Books on Verilog/VHDL
« Reply #27 on: May 08, 2014, 04:31:39 am »
I've heard about this getting popular but not sure if it is actually getting popular or if it's just hype:
http://en.wikipedia.org/wiki/SystemVerilog

It's supposed to be as easy as Verilog but with VHDL but I can't afford the Cadence, Mentor or Synopsys toolchains.

Object oriented Verilog ala Java?

 

Offline maxslug

  • Newbie
  • Posts: 5
  • Country: us
    • Nikon Hacker
Re: Books on Verilog/VHDL
« Reply #28 on: May 08, 2014, 04:50:12 am »
I've heard about this getting popular but not sure if it is actually getting popular or if it's just hype:
http://en.wikipedia.org/wiki/SystemVerilog

It's supposed to be as easy as Verilog but with VHDL but I can't afford the Cadence, Mentor or Synopsys toolchains.

Object oriented Verilog ala Java?

SystemVerilog has gained a lot of popularity in test bench code, but not so much in synthesizable code.    I have used it for both with mixed success.    SystemVerilog+UVM for verification (test-bench) seems to be a winning ticket.    For synthesizable code you have to be very careful about what your target software (Synopsys Design Compiler, Cadence RC, Xilinx ISE/Vivado, Altera Quartus, etc) at your specific version supports.    In general the support for different syntax and constructs tends to be "guess and check".

That said, it does fix a lot of the tricky problems in verilog with things like 'unique case' and 'always_ff'.    If you want to play with it (or VHDL or Verilog), I would suggest getting the free WebPack version of Xilinx Vivado or ISE, and playing around there.  I think that comes with a simulator.

-m
Chip Designer and Janitor of https://nikonhacker.com
 

Offline jeremy

  • Super Contributor
  • ***
  • Posts: 1079
  • Country: au
Re: Books on Verilog/VHDL
« Reply #29 on: July 13, 2014, 08:15:09 am »
My $0.02.   You see a lot of copies of "Verilog HDL" by Palnitkar on people's desks.  I have a copy myself.   It teaches you the syntax of verilog 2000, but it otherwise is awful.

I recently got this one  : http://www.amazon.com/dp/1482593335/ref=wl_it_dp_o_pC_nS_ttl?_encoding=UTF8&colid=2QB68NXFDIJOH&coliid=I32COIDXH3KYX4  and think it's great.   It teaches you digital design constructs at the same time as teaching you HDL.  I just leant it to a coworker who wanted to learn Verilog.

As for VHDL vs Verilog :  I've learned both, but note that there are an order of magnitude more job listings with Verilog than VHDL.
That would sway me into the Verilog camp unless I was going to work in a VHDL shop or on a specific project.

-m

Does anyone know where I can get this book in electronic format? I'm happy to pay for it, but I'm just not in a position to have many physical books at the moment.
 

Offline Sailor

  • Regular Contributor
  • *
  • Posts: 170
Re: Books on Verilog/VHDL
« Reply #30 on: July 14, 2014, 12:07:05 pm »
I can't help you with that book, it's pretty new (2013), although I think a free kindle version is available from the author's site.  (EDIT: Sorry 'bout that - no, it looks like it wasn't legit, and has been removed.)

There are a bunch of other good books on the web if you look hard enough e.g.

Digital Systems Design with FPGAs and CPLDs  Ian Grout 2008 Elsevier

Advanced FPGA Design Architecture, Implementation, and Optimization Steve Kilts 2007

Digital VLSI Design with Verilog John Williams 2008

FPGA PROTOTYPING BY VERILOG EXAMPLES Xilinx SpartanTM-3 Version Pong P. Chu 2008

Good hunting.
« Last Edit: July 14, 2014, 12:21:47 pm by Sailor »
 

Offline jeremy

  • Super Contributor
  • ***
  • Posts: 1079
  • Country: au
Re: Books on Verilog/VHDL
« Reply #31 on: July 14, 2014, 12:16:06 pm »
I can't help you with that book, it's pretty new (2014), although I think a free kindle version is available from the author's site. There are a bunch of other good books on the web if you look hard enough e.g.

Digital Systems Design with FPGAs and CPLDs  Ian Grout 2008 Elsevier

Advanced FPGA Design Architecture, Implementation, and Optimization Steve Kilts 2007

Digital VLSI Design with Verilog John Williams 2008

FPGA PROTOTYPING BY VERILOG EXAMPLES Xilinx SpartanTM-3 Version Pong P. Chu 2008

Good hunting.

I had a look on the authors site but it doesn't appear to be there, dang. I have plenty of books on verilog, but I am interested in the axi information. Perhaps I will try to get the dead tree version
 

Offline Sailor

  • Regular Contributor
  • *
  • Posts: 170
Re: Books on Verilog/VHDL
« Reply #32 on: July 14, 2014, 12:26:24 pm »
I guess you were posting while I was editing ;D

Quote
but I am interested in the axi information

axi ???
 

Offline jeremy

  • Super Contributor
  • ***
  • Posts: 1079
  • Country: au
Re: Books on Verilog/VHDL
« Reply #33 on: July 14, 2014, 01:47:57 pm »
http://www.arm.com/products/system-ip/amba/amba-open-specifications.php

AXI is the bus used by the Xilinx zynq to connect the FPGA fabric to the hard arm cores. I've been trying to find some good, practical information on using the Linux kernel/fabric interconnect which doesn't involve piecing a million little bits of information together from the internet.

Suggestions (especially verilog ones) are welcome  ;D
« Last Edit: July 14, 2014, 01:51:03 pm by jeremy »
 

Offline legacy

  • Super Contributor
  • ***
  • !
  • Posts: 4415
  • Country: ch
Re: Books on Verilog/VHDL
« Reply #34 on: July 14, 2014, 03:37:15 pm »
FPGA PROTOTYPING BY VERILOG EXAMPLES Xilinx SpartanTM-3 Version Pong P. Chu 2008

i can provide you this book in pdf, for free, also the VHDL version is possible.
in case send a PM to me
 

Offline legacy

  • Super Contributor
  • ***
  • !
  • Posts: 4415
  • Country: ch
Re: Books on Verilog/VHDL
« Reply #35 on: July 14, 2014, 05:52:07 pm »
have you also seen this book ?
 

Offline Sailor

  • Regular Contributor
  • *
  • Posts: 170
Re: Books on Verilog/VHDL
« Reply #36 on: July 14, 2014, 11:50:04 pm »
have you also seen this book ?

Yes, they also teamed up with a third author for this later version:

Rapid prototyping of digital systems - Quartus II edition (2006)

and the same three also did:

Rapid Prototyping of Digital Systems - SOPC Edition (2008)

All three are available on the web.



 

Offline miguelvp

  • Super Contributor
  • ***
  • Posts: 5550
  • Country: us
Re: Books on Verilog/VHDL
« Reply #37 on: July 15, 2014, 12:03:20 am »
Rapid Prototyping of Digital Systems - SOPC Edition (2008)

Too bad that Altera phased out SOPC and now uses QSys, although is similar enough to be relevant but the details might confuse first time users.
 

Offline legacy

  • Super Contributor
  • ***
  • !
  • Posts: 4415
  • Country: ch
Re: Books on Verilog/VHDL
« Reply #38 on: July 15, 2014, 08:54:36 am »



about good books, i was suggested about this one

Digital Design and Computer Architecture: From Gates to Processors
David Harris, Sarah Harris

it is available in Kindle format for about $50 USD
 

Offline bwat

  • Frequent Contributor
  • **
  • Posts: 278
  • Country: se
    • My website
Re: Books on Verilog/VHDL
« Reply #39 on: July 15, 2014, 09:12:27 am »
about good books, i was suggested about this one

Digital Design and Computer Architecture: From Gates to Processors
David Harris, Sarah Harris

it is available in Kindle format for about $50 USD
Which prompts the question: "why didn't you read the book and build your own MIPS core instead of all the hassle you've gone through the last month or so?" They've even got their MIPS source online for you to download.
« Last Edit: July 15, 2014, 09:14:45 am by bwat »
"Who said that you should improve programming skills only at the workplace? Is the workplace even suitable for cultural improvement of any kind?" - Christophe Thibaut

"People who are really serious about software should make their own hardware." - Alan Kay
 

Offline legacy

  • Super Contributor
  • ***
  • !
  • Posts: 4415
  • Country: ch
Re: Books on Verilog/VHDL
« Reply #40 on: July 15, 2014, 09:47:02 am »
Which prompts the question: "why didn't you read the book and build your own MIPS core instead of all the hassle you've gone through the last month or so?" They've even got their MIPS source online for you to download.

the companion material provided online with this book is MIPS mono cycle, practically a toy that can be ONLY simulated
« Last Edit: August 07, 2014, 02:20:21 am by legacy »
 

Offline bwat

  • Frequent Contributor
  • **
  • Posts: 278
  • Country: se
    • My website
Re: Books on Verilog/VHDL
« Reply #41 on: July 15, 2014, 09:52:57 am »
The book gives you everything you need to build and understand a MIPS implementation!
"Who said that you should improve programming skills only at the workplace? Is the workplace even suitable for cultural improvement of any kind?" - Christophe Thibaut

"People who are really serious about software should make their own hardware." - Alan Kay
 

Offline legacy

  • Super Contributor
  • ***
  • !
  • Posts: 4415
  • Country: ch
Re: Books on Verilog/VHDL
« Reply #42 on: July 15, 2014, 10:09:39 am »
I find it very helpful to learn from other people's mistakes  >:D

me, too  >:D
 

Offline legacy

  • Super Contributor
  • ***
  • !
  • Posts: 4415
  • Country: ch
Re: Books on Verilog/VHDL
« Reply #43 on: July 20, 2014, 04:36:17 pm »


I have found this book this book(1), and it seems very very good

(1) Digital Logic and Microprocessor Design with VHDL by Enoch O. Hwang
 

Offline legacy

  • Super Contributor
  • ***
  • !
  • Posts: 4415
  • Country: ch
Re: Books on Verilog/VHDL
« Reply #44 on: August 08, 2014, 12:47:25 am »
also i have bought this book by Peter J. Ashenden and it's excellent: Digital Design (VHDL): An Embedded Systems Approach Using VHDL
if you prefer, there is the Verilog version: Digital Design (VHDL): An Embedded Systems Approach Using Verilog
 

Offline UnixonTopic starter

  • Frequent Contributor
  • **
  • Posts: 398
Re: Books on Verilog/VHDL
« Reply #45 on: August 18, 2014, 08:47:17 pm »
Digital Design and Computer Architecture: From Gates to Processors
David Harris, Sarah Harris

Quickly browsed through chapters... this book is awesome.
https://www.uop.edu.jo/download/research/members/Digital_Design_and_Computer_Architecture.pdf

There was another one on the server
https://www.uop.edu.jo/download/research/members/Digital_Design_-_A_Comprehensive_Guide_to_Digital_Electronics_and_Computer_System_Architecture_20.pdf
Probably worth reading as well.
« Last Edit: August 18, 2014, 08:53:36 pm by Unixon »
 

Offline UnixonTopic starter

  • Frequent Contributor
  • **
  • Posts: 398
Re: Books on Verilog/VHDL
« Reply #46 on: August 18, 2014, 09:12:32 pm »
I have found this book this book(1), and it seems very very good

(1) Digital Logic and Microprocessor Design with VHDL by Enoch O. Hwang

Yes, this one also looks very interesting, detailed explanation of different circuits.
http://serenitystreetnews.com/HERSTORY%20CRAMNOTES/5dterra%20NOTES%20AUDIO%20VIDEO/ebooks/ebooks/Digital%20Logic%20And%20Microprocessor%20Design%20With%20VHDL%20by%20Enoch%20O%5B1%5D.%20Hwang.pdf
 

Offline UnixonTopic starter

  • Frequent Contributor
  • **
  • Posts: 398
Re: Books on Verilog/VHDL
« Reply #47 on: August 18, 2014, 09:20:48 pm »
also i have bought this book by Peter J. Ashenden and it's excellent: Digital Design (VHDL): An Embedded Systems Approach Using VHDL
if you prefer, there is the Verilog version: Digital Design (VHDL): An Embedded Systems Approach Using Verilog

Recently I've got both of these in paper versions. Mostly aimed at beginners, starts with elementary things, ramps up to a custom 8-bit CPU, doesn't go very deep in explaining complex stuff. The other book mentioned before "Digital Design and Computer Architecture: From Gates to Processors" by  David Harris, Sarah Harris is much more detailed. Nevertheless, I would prefer to have both of them, because topic coverage and explanation depth differs from one place to another.
 

Offline jeremy

  • Super Contributor
  • ***
  • Posts: 1079
  • Country: au
Re: Books on Verilog/VHDL
« Reply #48 on: August 19, 2014, 12:42:58 am »
also i have bought this book by Peter J. Ashenden and it's excellent: Digital Design (VHDL): An Embedded Systems Approach Using VHDL
if you prefer, there is the Verilog version: Digital Design (VHDL): An Embedded Systems Approach Using Verilog

Recently I've got both of these in paper versions. Mostly aimed at beginners, starts with elementary things, ramps up to a custom 8-bit CPU, doesn't go very deep in explaining complex stuff. The other book mentioned before "Digital Design and Computer Architecture: From Gates to Processors" by  David Harris, Sarah Harris is much more detailed. Nevertheless, I would prefer to have both of them, because topic coverage and explanation depth differs from one place to another.

Yes, the Harris book is very good. Especially the new edition, which covers SystemVerilog instead of just Verilog.
 


Share me

Digg  Facebook  SlashDot  Delicious  Technorati  Twitter  Google  Yahoo
Smf