Author Topic: DE0 board getting started  (Read 1282 times)

0 Members and 1 Guest are viewing this topic.

Offline mnTopic starter

  • Contributor
  • Posts: 16
  • Country: ca
DE0 board getting started
« on: May 04, 2017, 02:30:28 pm »
We have a DE0 (www.terasic.com.tw/cgi-bin/page/archive.pl?Language=English&No=364) board and the manual is quite straight forward. Consider this simple counter code


Code: [Select]
library ieee;
use ieee.std_logic_1164.all;

entity counter2 is
port( clk, rst: in std_logic;
  q: out integer range 0 to 31);
end;

architecture x of counter2 is
begin
process( clk, rst )
variable tmp: integer := 0;
begin
if ( rst = '1' ) then
tmp := 0;
elsif (clk'event and clk = '1') then
tmp := tmp + 1;
if ( tmp = 32 ) then
tmp := 0;
end if;
end if;
q <= tmp;
end process;
end;

According to the manual (http://www.terasic.com.tw/cgi-bin/page/archive_download.pl?Language=English&No=364&FID=0c266381d75ef92a8291c5bbdd5b07eb), the push button pins are described in page 27 fig 4-5
F1 -> CLK
G3 -> RST

And the LEDs are described in page 28, fig 4-8 (J1, J2, J3, H1, F2). I configured the chip with these pins. As you can see in the attached picture, the programming phase is done successfully and I see that board initial works (flashing leds) are stopped for programming. However, as I press the buttons, the LEDs don't work. If you look at the attached board picture, the five bits (output q) are turned off which shows the board is configured.

Any idea to debug more?
 

Offline mnTopic starter

  • Contributor
  • Posts: 16
  • Country: ca
Re: DE0 board getting started
« Reply #1 on: May 04, 2017, 02:58:23 pm »
Excuse me. I made a mistake. The buttons high positions are (1) so the circuit was always in the reset mode. Please remove this topic.
 


Share me

Digg  Facebook  SlashDot  Delicious  Technorati  Twitter  Google  Yahoo
Smf