Author Topic: FPGA Advice wanted.  (Read 14977 times)

0 Members and 1 Guest are viewing this topic.

Online joeqsmith

  • Super Contributor
  • ***
  • Posts: 11714
  • Country: us
Re: FPGA Advice wanted.
« Reply #50 on: July 13, 2016, 04:36:21 am »
About 48 minutes to install.   Fairly painless.   Was sitting at the installing drivers part for several minutes before I realized they had popped up a screen and it was behind the main install menu.   

Offline Chris Mr

  • Regular Contributor
  • *
  • Posts: 139
  • Country: gb
  • Where there's a will there's a way
Re: FPGA Advice wanted.
« Reply #51 on: July 13, 2016, 06:22:22 am »
Nice video BlueSkull

The LSE is available by right clicking on "Synthesis Tool" in the left hand pane - you get the option to change to the lattice one which is free and not time limited.

Hope that helps
« Last Edit: July 13, 2016, 06:27:19 am by Chris Mr »
 
The following users thanked this post: blueskull

Offline Kilrah

  • Supporter
  • ****
  • Posts: 1852
  • Country: ch
Re: FPGA Advice wanted.
« Reply #52 on: July 13, 2016, 06:58:36 am »
I haz variable-speed blinkenlights  ^-^

Got the board a few months ago, managed to build/load the sample design and change a few things on the software side but for whatever reason had zero success trying to do anything on the hardware side. Then got too busy with other things, seems starting fresh and from approximately 0 (make a single connection between a pushbutton and a LED...) then adding more along works much better this time.

Need to try and write a few custom modules more advanced than a mux now... I've done some VHDL during my studies but that was about 12 years ago, and while I remember doing good back then it seems that now there's some kind of "mental resistance" that just doesn't want to let it sink in again, constantly have to refer to docs/searches for nearly every expression  >:(
 

Offline Sal Ammoniac

  • Super Contributor
  • ***
  • Posts: 1668
  • Country: us
Re: FPGA Advice wanted.
« Reply #53 on: July 13, 2016, 04:16:35 pm »
I wanted to play with Vivado but the tool costs for my hobby use are out.

The Webpack version of Vivado is free.
Complexity is the number-one enemy of high-quality code.
 
The following users thanked this post: Kilrah

Offline mark03

  • Frequent Contributor
  • **
  • Posts: 711
  • Country: us
Re: FPGA Advice wanted.
« Reply #54 on: July 13, 2016, 07:16:49 pm »
The Webpack version of Vivado is free.
Does this also include the logic analyzer now too?  I noticed Xilinx are pitching "HLS Webpack" but it's hard to find concrete info on their web site.
 

Offline whollender

  • Regular Contributor
  • *
  • Posts: 58
  • Country: us
Re: FPGA Advice wanted.
« Reply #55 on: July 13, 2016, 07:46:05 pm »
Does this also include the logic analyzer now too?  I noticed Xilinx are pitching "HLS Webpack" but it's hard to find concrete info on their web site.

It looks like both Vivado and ISE webpack editions should include chipscope (do they not call it that anymore in Vivado?), but I haven't tried it myself:

http://www.xilinx.com/products/design-tools/vivado/vivado-webpack.html

http://www.xilinx.com/products/design-tools/ise-design-suite/ise-webpack.html
 

Offline rstofer

  • Super Contributor
  • ***
  • Posts: 9889
  • Country: us
Re: FPGA Advice wanted.
« Reply #56 on: July 13, 2016, 11:41:57 pm »
The Webpack version of Vivado is free.
Does this also include the logic analyzer now too?  I noticed Xilinx are pitching "HLS Webpack" but it's hard to find concrete info on their web site.

I don't understand Vivado licensing...  Apparently, if you buy a preferred board (Arty or Basys3), you get a certificate that allows you to get a fully licensed version of Vivado including all the IP.  The simulator works well but I don't prefer to use it.  What they do have is a logic probe arrangement whereby I can define signals I want to watch and it displays them on the screen as traces.  There's more to it than that but basically, it is debugging in hardware as opposed to simulating.  A built-in logic analyzer, if you will!

What I don't know is what level of access you get without the certificate.

VVVVVVVVVVVVVVVVVVV

What I really wanted to mention is the new Digilent Artix 7 'stick'.  This is going to be a sweet deal because it has 512 KB of SRAM along with the USB programming port.  Just announced today!

http://store.digilentinc.com/cmod-a7-breadboardable-artix-7-fpga-module/

I want the 35 chip vs the 15 chip because more cells is better - $89.  Its on order...
 

Offline neil555

  • Contributor
  • Posts: 40
  • Country: gb
Re: FPGA Advice wanted.
« Reply #57 on: July 14, 2016, 12:07:32 am »
If your new to FPGA i'd really recommend Altera as Quartus is much easier to use than the Xilinx software (not sure what they call theirs now but it used to be called Webpack)
 

Offline rstofer

  • Super Contributor
  • ***
  • Posts: 9889
  • Country: us
Re: FPGA Advice wanted.
« Reply #58 on: July 14, 2016, 12:46:48 am »
If your new to FPGA i'd really recommend Altera as Quartus is much easier to use than the Xilinx software (not sure what they call theirs now but it used to be called Webpack)

I've been using Xilinx parts for about 12 years - no worries about the toolchain except the learning curve transitioning to Vivado  The new constraints file is baffling beyond belief!  I actually understood the .ucf file but would they leave it alone?  No!  They just had to tickle it (inside joke!).

I have an Altera board and, at one time, I was using Quartus.  Then I read the EULA!  They reserved the right to cancel the license at any time in the future for any reason whatsoever.  Or no reason at all!  So, I have the board, I have the project and no right to use the toolchain!

I had been through the license cancellation debacle with UCSD Pascal so I decided to just get away from Altera.  I understand, but don't know for a fact, that they changed the terms of the EULA.  But after 12 years, who cares?

The tools are doing complex kinds of things.  It is reasonable that they would be pretty complex themselves.  None of the complexity matters as long as the user doesn't need to know about it.  At least in early times.

 

Offline hamster_nz

  • Super Contributor
  • ***
  • Posts: 2803
  • Country: nz
Re: FPGA Advice wanted.
« Reply #59 on: July 14, 2016, 12:52:38 am »
I don't understand Vivado licensing...  Apparently, if you buy a preferred board (Arty or Basys3), you get a certificate that allows you to get a fully licensed version of Vivado including all the IP.  The simulator works well but I don't prefer to use it.  What they do have is a logic probe arrangement whereby I can define signals I want to watch and it displays them on the screen as traces.  There's more to it than that but basically, it is debugging in hardware as opposed to simulating.  A built-in logic analyzer, if you will!

What I don't know is what level of access you get without the certificate.

The licenses *used* to be useful, where without a license you didn't get the Virtual Logic Analyser, and you couldn't use the High Level Synthesis tool. It may still be useful if you are forced to run older Vivado Webpack installations for some reason, but for a new installation I wouldn't even look at it.

With the Vivado HL Webpack you pretty much everything for all low end parts (e.g. Artix and Zynq 7010/7020, and a few smaller Kintex parts). The only thing you are missing out on is the DSP System Generator tools.

See http://www.xilinx.com/products/design-tools/vivado/vivado-webpack.html for more details.

It seems to me to be an attempt to allow engineers to experience and hopefully to drive the adoption of the HLS tools.



Gaze not into the abyss, lest you become recognized as an abyss domain expert, and they expect you keep gazing into the damn thing.
 

Offline DubbieTopic starter

  • Supporter
  • ****
  • Posts: 1115
  • Country: nz
Re: FPGA Advice wanted.
« Reply #60 on: July 14, 2016, 01:21:38 am »
Blueskull, Just want to say thanks again for the great tutorial.

I got the board up and running.
I think between this board and the Xilinx that I've got coming, I should be covered!

Thanks.

R
 

Offline Kilrah

  • Supporter
  • ****
  • Posts: 1852
  • Country: ch
Re: FPGA Advice wanted.
« Reply #61 on: July 14, 2016, 07:20:33 am »
What I really wanted to mention is the new Digilent Artix 7 'stick'.  This is going to be a sweet deal because it has 512 KB of SRAM along with the USB programming port.  Just announced today!

http://store.digilentinc.com/cmod-a7-breadboardable-artix-7-fpga-module/

+1, just got the email and thought it would have been a perfect fit for this thread :)
 

Online tggzzz

  • Super Contributor
  • ***
  • Posts: 19469
  • Country: gb
  • Numbers, not adjectives
    • Having fun doing more, with less
Re: FPGA Advice wanted.
« Reply #62 on: July 14, 2016, 07:32:17 am »
What I really wanted to mention is the new Digilent Artix 7 'stick'.  This is going to be a sweet deal because it has 512 KB of SRAM along with the USB programming port.  Just announced today!

http://store.digilentinc.com/cmod-a7-breadboardable-artix-7-fpga-module/

+1, just got the email and thought it would have been a perfect fit for this thread :)

Look at the schematic, look at the DIP connectors and the distribution of GND pins, look at the Artix edge rates and drive capabilities, and consider whether signal integrity might be an issue in a design.
There are lies, damned lies, statistics - and ADC/DAC specs.
Glider pilot's aphorism: "there is no substitute for span". Retort: "There is a substitute: skill+imagination. But you can buy span".
Having fun doing more, with less
 

Offline Sal Ammoniac

  • Super Contributor
  • ***
  • Posts: 1668
  • Country: us
Re: FPGA Advice wanted.
« Reply #63 on: July 15, 2016, 02:57:00 am »
Look at the schematic, look at the DIP connectors and the distribution of GND pins, look at the Artix edge rates and drive capabilities, and consider whether signal integrity might be an issue in a design.

Anything designed to be used on a solderless breadboard probably doesn't have signal integrity as a high priority anyway.  :-DD
Complexity is the number-one enemy of high-quality code.
 

Online tggzzz

  • Super Contributor
  • ***
  • Posts: 19469
  • Country: gb
  • Numbers, not adjectives
    • Having fun doing more, with less
Re: FPGA Advice wanted.
« Reply #64 on: July 15, 2016, 07:01:05 am »
Look at the schematic, look at the DIP connectors and the distribution of GND pins, look at the Artix edge rates and drive capabilities, and consider whether signal integrity might be an issue in a design.

Anything designed to be used on a solderless breadboard probably doesn't have signal integrity as a high priority anyway.  :-DD

The thought had crossed my mind, but anybody using one of those for anything deserves whatever emerges!
There are lies, damned lies, statistics - and ADC/DAC specs.
Glider pilot's aphorism: "there is no substitute for span". Retort: "There is a substitute: skill+imagination. But you can buy span".
Having fun doing more, with less
 

Offline rstofer

  • Super Contributor
  • ***
  • Posts: 9889
  • Country: us
Re: FPGA Advice wanted.
« Reply #65 on: July 15, 2016, 01:34:32 pm »
OP, your project sounds a lot like a logic analyzer except you don't store the waveform, rather you just measure it.  We never talked about the waveform or how to trigger the counter.  Does one channel start the process for all the others or does each channel start itself?  No matter, it's just a detail.

Here is a site with a very nice 32 channel logic analyzer that works at 100 MHz but is also capable of recording 16 channels at 200 MHz (5 nS sample).  I designed a little level shifter for the project and it runs quite well on an old Spartan 3 Starter Board.

http://www.sump.org/projects/analyzer/

I thought you might be able to get some hints from a project that is conceptually similar to what you are attempting.

The fact that you want 10 nS resolution doesn't say much about the frequencies involved.  If the signals are very high frequency, you very well could have problems with a breadboard.  There's a ton of stray capacitance that will tend to smear the edges regardless of the frequency of the signal itself.  You may decide at some point to build a carrier PCB.  Or, maybe the manufacturer has a carrier board.

Still, there's a lot of coding to do before capacitance becomes a problem.
 

Offline DubbieTopic starter

  • Supporter
  • ****
  • Posts: 1115
  • Country: nz
Re: FPGA Advice wanted.
« Reply #66 on: July 17, 2016, 06:50:16 am »
Thanks for the hint rstofer. I will be sure to check it out.


Sent from my phone using Tapatalk
 


Share me

Digg  Facebook  SlashDot  Delicious  Technorati  Twitter  Google  Yahoo
Smf