Author Topic: FPGA Advice  (Read 10363 times)

0 Members and 1 Guest are viewing this topic.

Offline mozcelikorsTopic starter

  • Contributor
  • Posts: 16
  • Country: tr
  • an Arduino & FPGA enthusiast, engineering student.
FPGA Advice
« on: March 21, 2013, 11:03:51 am »
Hi guys,

I'm an Electrical & Electronics Engineering student. For a long time I've been working with Arduino, and I was able to achieve a couple of projects about robotics, networking, and sensor readings. In the school, we are taught VHDL, C; and I was able to improve my skills of programming for -say- 5 or 6 years by developing apps and softwares. So, I guess I have what it takes to start FPGA's.

Developing projects with Arduino is easy, however I want to do more engineering stuff, so I decided to get an FPGA. ( or DSP board, whatever you guys recommend ). I've checked the stuff available and shipment options, and for a starter I liked these boards that I want you to review and tell me one to buy. Here they are:

Altera DE0 ( Cyclone III )
Altera DE0-Nano (Cyclone IV )
Xilinx Basys2 100K Gates

If you want to recommend another board within the same price range (up to 150$) or another developement process, please do it. I'm open for suggestions.

Thanks.
« Last Edit: March 21, 2013, 11:27:09 am by mozcelikors »
 

Offline Codemonkey

  • Regular Contributor
  • *
  • Posts: 235
  • Country: gb
Re: FPGA Advice
« Reply #1 on: March 21, 2013, 11:51:48 am »
I've got a DE0-Nano board which I used as an intro into the FPGA world (Using Verilog). I found it pretty easy to get started with, really my only complaint was that you can't use the built in ByteBlaster programmer to program other devices, so if you were to design your own pcb with an Altera device on it, you wouldn't be able to use the DE0-Nano board to program it. Having said that, USB Byteblaster programmer clones are readilly available on ebay for not much.
 

Offline poorchava

  • Super Contributor
  • ***
  • Posts: 1672
  • Country: pl
  • Troll Cave Electronics!
Re: FPGA Advice
« Reply #2 on: March 21, 2013, 01:14:46 pm »
You can try LCMXO2-1200ZE-P1-EVN

It's development/evaluation board from Lattice, which contains 1280LUTs and has internal config memory. It has some peripherals, but a rather modest set. It costs 161 PLN in Poland, so equivalent to $50 (probably much cheaper elsewhere). I's say it's a good price for an FPGA board.

plus the fact that Lattice devices are cheaper than Xilinx or Altera for the same class of device of course.
I love the smell of FR4 in the morning!
 

Offline mozcelikorsTopic starter

  • Contributor
  • Posts: 16
  • Country: tr
  • an Arduino & FPGA enthusiast, engineering student.
Re: FPGA Advice
« Reply #3 on: March 21, 2013, 04:33:57 pm »
To Codemonkey,

I really appreciate your opinion, I dont mind pcb designs for now. I'm just a beginner to this. How about DE0? Is it better than DE0-Nano? I really liked the interface outputs ( I mean PS2, VGA,.. ) on the DE0 board.


To poorchava,

Well, I dont think they have Lattice FPGA boards here in Turkey. I was planning to buy Altera from the website with international delivery FedEx. I dont know is it trusted or not. I would be much appreciated if you provide me a link that has international shipment.

 

Offline olsenn

  • Frequent Contributor
  • **
  • Posts: 993
Re: FPGA Advice
« Reply #4 on: March 21, 2013, 04:42:02 pm »
Quote
I really appreciate your opinion, I dont mind pcb designs for now. I'm just a beginner to this. How about DE0? Is it better than DE0-Nano? I really liked the interface outputs ( I mean PS2, VGA,.. ) on the DE0 board.


The DE0 Nano has a much more powerful FPGA (Cyclone IV) than the DE0; however, the DE0 has more I/O and configuration options. If you already have a project made up that you want to encorporate an FPGA into (without having to worry about BGA soldering, power supply conditioning, netlists etc) then the Nano is a good bet for you... it is smaller and can plug right into whatever you're doing. On the other hand, if you want a fully featured board to experiment with and learn about FPGA's in general, then I would recommend the DE0 (or actually a digilent Xilinx board)
 

Offline PA0PBZ

  • Super Contributor
  • ***
  • Posts: 5126
  • Country: nl
Re: FPGA Advice
« Reply #5 on: March 21, 2013, 04:50:02 pm »
Just to make it a bit more complicated: eBay auction: #310620854594
Keyboard error: Press F1 to continue.
 

Offline MacAttak

  • Supporter
  • ****
  • Posts: 683
  • Country: us
Re: FPGA Advice
« Reply #6 on: March 21, 2013, 07:35:18 pm »
Depending on how soon you need a board, here is another option that has a fairly good price: http://kck.st/UNVcNE

It's a new board built around a Spartan 6 (9k cells), should be shipping in a month or two (assuming no major delays).

There are bigger chips out there, but it's hard to beat $65 shipped ($70 for overseas) for a starter board.


Just pointing out the obvious though - this is a Kickstarter projects. Design/Tech projects are notorious for delays, especially for popular projects which greatly exceed their original target goals (this one is over 10x their goal with 2 days left in the campaign). So there is a very real risk with what you actually get out of it.
 

Offline marshallh

  • Supporter
  • ****
  • Posts: 1462
  • Country: us
    • retroactive
Re: FPGA Advice
« Reply #7 on: March 21, 2013, 08:42:24 pm »
Get the DE0

Avoid the xilinx boards unless you prefer bludgeoning your genitalia with blunt objects. The hardware aint too bad (though they cut corners whereever possible -- see gimped DCM vs full PLL) the development software is the real killer
Verilog tips
BGA soldering intro

11:37 <@ktemkin> c4757p: marshall has transcended communications media
11:37 <@ktemkin> He speaks protocols directly.
 

Offline mozcelikorsTopic starter

  • Contributor
  • Posts: 16
  • Country: tr
  • an Arduino & FPGA enthusiast, engineering student.
Re: FPGA Advice
« Reply #8 on: March 21, 2013, 11:09:50 pm »
I really thank everyone that shared their opinion.

Quote
I really appreciate your opinion, I dont mind pcb designs for now. I'm just a beginner to this. How about DE0? Is it better than DE0-Nano? I really liked the interface outputs ( I mean PS2, VGA,.. ) on the DE0 board.


The DE0 Nano has a much more powerful FPGA (Cyclone IV) than the DE0; however, the DE0 has more I/O and configuration options. If you already have a project made up that you want to encorporate an FPGA into (without having to worry about BGA soldering, power supply conditioning, netlists etc) then the Nano is a good bet for you... it is smaller and can plug right into whatever you're doing. On the other hand, if you want a fully featured board to experiment with and learn about FPGA's in general, then I would recommend the DE0 (or actually a digilent Xilinx board)

Thank you for your input. It's very helpful. Actually now I'm too far to building pcb projects with FPGAs, because I have 3 Arduino MEGA's that helps me build pretty much everything I want. I'm buying the FPGA board just for learning purpose, introducing the device and VHDL programming practice. But in the future of course, I want to do somethings with that board. Is the hardware difference between DE0 and DE0-Nano noticable? Or is it just noticable in high leveled apps?


Another question for now? Xilinx or Altera? Does the IDE's differ much?
 

Offline MacAttak

  • Supporter
  • ****
  • Posts: 683
  • Country: us
Re: FPGA Advice
« Reply #9 on: March 21, 2013, 11:57:41 pm »
Get the DE0

Avoid the xilinx boards unless you prefer bludgeoning your genitalia with blunt objects. The hardware aint too bad (though they cut corners whereever possible -- see gimped DCM vs full PLL) the development software is the real killer

Could you elaborate on this a little bit? I am just getting started with the Xilinx ISE environment, and I can't say that I am thrilled with it. The installation process was exceedingly painful, and the UI flow just seems bizarre (I have been going through their web tutorial project where you build a stopwatch). I assumed my hiccups were due to just being new to FPGA toolchains in general - but am I putting myself at an unnecessary disadvantage by using a Xilinx chip (and ISE)?
 

Offline poorchava

  • Super Contributor
  • ***
  • Posts: 1672
  • Country: pl
  • Troll Cave Electronics!
Re: FPGA Advice
« Reply #10 on: March 22, 2013, 09:58:38 am »
Quote
To poorchava,

Well, I dont think they have Lattice FPGA boards here in Turkey. I was planning to buy Altera from the website with international delivery FedEx. I dont know is it trusted or not. I would be much appreciated if you provide me a link that has international shipment.

I'm pretty sure Farnell operates in Turkey, and they have quite a broad range of Lattice chips.
I love the smell of FR4 in the morning!
 

Offline mozcelikorsTopic starter

  • Contributor
  • Posts: 16
  • Country: tr
  • an Arduino & FPGA enthusiast, engineering student.
Re: FPGA Advice
« Reply #11 on: March 22, 2013, 02:38:51 pm »
Oh yes, but people tell me to avoid Farnell if i can. I dont know why though.
 

Offline AndyC_772

  • Super Contributor
  • ***
  • Posts: 4227
  • Country: gb
  • Professional design engineer
    • Cawte Engineering | Reliable Electronics
Re: FPGA Advice
« Reply #12 on: March 22, 2013, 03:32:09 pm »
If you're already comfortable with hooking things up to digital I/O pins then you could do worse than one of these:

http://www.ebay.co.uk/itm/Altera-CycloneII-EP2C5T144-FPGA-Board-USB-Blaster-JTAG-/190501213198?pt=LH_DefaultDomain_0&hash=item2c5ac1d40e

It's about as simple as development boards come - just the chip on a board with a voltage regulator, a configuration EEPROM, one button, and a 50 MHz crystal oscillator. There's no cheaper way to take your first steps into learning VHDL, and the USB programming adapter that's included is a general purpose tool which will work with any board you ever design with an Altera FPGA in it. Download the free version of Quartus from Altera's web site and you're ready to go.

Be warned: the pinout diagram on the sticker is wrong - nCS and nCE are swapped, if I remember correctly. Otherwise it's a perfectly functional clone of the official USB Blaster cable; the hardware itself is correct even though the picture is wrong.

Online nctnico

  • Super Contributor
  • ***
  • Posts: 26896
  • Country: nl
    • NCT Developments
Re: FPGA Advice
« Reply #13 on: March 22, 2013, 04:50:21 pm »
Oh yes, but people tell me to avoid Farnell if i can. I dont know why though.
Thats weird. I order from Farnell all the time. For small quantities they are expensive (ofcourse) but for larger quantities they can be just as cheap as a distributor.
There are small lies, big lies and then there is what is on the screen of your oscilloscope.
 

Offline Hardcorefs

  • Regular Contributor
  • *
  • Posts: 81
Re: FPGA Advice
« Reply #14 on: March 26, 2013, 05:03:31 am »
Get the DE0

Avoid the xilinx boards unless you prefer bludgeoning your genitalia with blunt objects. The hardware aint too bad (though they cut corners whereever possible -- see gimped DCM vs full PLL) the development software is the real killer

Could you elaborate on this a little bit? I am just getting started with the Xilinx ISE environment, and I can't say that I am thrilled with it. The installation process was exceedingly painful, and the UI flow just seems bizarre (I have been going through their web tutorial project where you build a stopwatch). I assumed my hiccups were due to just being new to FPGA toolchains in general - but am I putting myself at an unnecessary disadvantage by using a Xilinx chip (and ISE)?

***Rant warning*****
I work extensively with Xilinx and ISE,

I really love the demo boards and the chips, they are usually designed to be  sturdy, but I have to say that many of the comments related to how bad the tools are, are just too kind....

The tools are bad, fortunately Xilinx does not design Silicon the same way it designs tools, if they did they would be dead a long time ago.
 

Offline USBGuru

  • Newbie
  • Posts: 1
Re: FPGA Advice
« Reply #15 on: March 28, 2013, 07:23:01 pm »
Hi Mozcelikors,

I would to suggest the EPT-570-AP from www.earthpeopletechnology.com It is a complete CPLD development board that includes a USB JTAG programmer. It also provides an SDK which allows you to create interactive USB communications from a Windows PC to the CPLD. The SDK hides all of the USB driver stuff. The CPLD comes with libraries to easily send and recieve USB transfers.

It is very cheap at $55.00. And it comes with a user manual that has step by step instructions on how to create projects on both the CPLD and the PC.
 

Offline gregariz

  • Frequent Contributor
  • **
  • Posts: 545
  • Country: us
Re: FPGA Advice
« Reply #16 on: March 28, 2013, 08:18:41 pm »
You could download Mike Fields getting started book

http://forum.gadgetfactory.net/index.php?/page/articles.html/_/papilio/logicstart-megawing/intro-to-spartan-fpga-ebook-r34

then either just work through the exercises using the Xilinx ISE and then optionally buy either the Gadget Factory Papilio board or the Digilent Basys board to run them on.

Both use a Xilinx spartan 3. I got the papilio with logicstart addition for less that $100.

 

Offline RPBCACUEAIIBH

  • Contributor
  • Posts: 30
Re: FPGA Advice
« Reply #17 on: March 30, 2013, 09:19:58 am »
I have a Basys 2 FPGA board with 250K gates and I love it. It has a selectable 25 - 50 - 100MHz clock (if you need it because of the VGA and PS2 ports, you will need for a good image resolution the 100MHz) and it has a socket for user clock which can be up to 300MHz according to the spartan's datasheet. It features 16 I/Os, VGA output, PS2 port, 8 slide switches, 8 LEDs, 4 debounced push buttons, 4x 7 segment display, about 1hz clk, 20-50-100MHz clk, user clock socket, program memory, mini b USB, 2 pins for battery power, on/off switch, and of course the spartan 3e chip.
The programming platform is ISE Web Pack, which is free, you just have to register download, install, and make a free licence, I can make a video to show you how to get started with it, if you use windows, then the adept provided by the digilent can be used to upload very easily the program, if you use linux, than it's a little more complicated because you must have to learn to use the xilinx uploader, which is the impact,(included in ise web pack), and since the Basys board is digilent board not xilinx you have to set it... (it took me a while to learn to use it on linux, but a bad tool.)
You can use Verilog, VHDL and Schematic design. (I use schematic, and love to make multiple A0 sized schematic designs... :D, didn't learned the other 2 yet, but perhaps later...)
Digilent documentation is very poor, but the board is pretty powerful... The only thing I'm not satisfied with is that there is only 16 I/O ports, but if you can live with that, than I say that for video processing it's more powerfull then the othr boards... There is one thing that I like the most in that board... I like that all I/O ports are at the same side, this way if you just put the pins on your custom board in a row in 4 groups of 6 pin with 3 - 3 pin space, you can attach the digilent board to your own in a vertical direction like PCI on the motherboard... :D
As for SD slot, that's pretty easy to make, so I would not worry about that.
By default it runs at 50MHz, and comes with no jumper not even pins to select clock speed, just holes, but you can easily solder in some pins and put a jumper for the frequency to be selectable. It works well I tried it.
 

Offline VanitarNordic

  • Frequent Contributor
  • **
  • Posts: 277
  • Country: 00
Re: FPGA Advice
« Reply #18 on: March 31, 2013, 07:21:37 am »
if you are a student you can use some student discounts that Xilinx or Altera provide for their development boards. I have not checked other manufactures.
 

Offline Hardcorefs

  • Regular Contributor
  • *
  • Posts: 81
Re: FPGA Advice
« Reply #19 on: April 01, 2013, 04:13:45 am »
Yep,
  Digilent.com offer student discounts... BUT ISE is the problem, once the license expires some of the boards are useless because they contain parts that are not part of the ISEweb edition.
 

Offline VanitarNordic

  • Frequent Contributor
  • **
  • Posts: 277
  • Country: 00
Re: FPGA Advice
« Reply #20 on: April 02, 2013, 09:55:00 pm »
Yep,
  Digilent.com offer student discounts... BUT ISE is the problem, once the license expires some of the boards are useless because they contain parts that are not part of the ISEweb edition.

ISE Webpack does not accomplish your required task?
 

Offline RPBCACUEAIIBH

  • Contributor
  • Posts: 30
Re: FPGA Advice
« Reply #21 on: April 03, 2013, 05:33:20 am »
ISE Web pack is good enough for a start... you not gonna use half of it... :P You need the compiler which is the ISE itself which is free, and you need impact to upload your program if you work on linux, which is also free (I didn't tryed on mac, only on windows7 and ubuntu.)
 

Offline VanitarNordic

  • Frequent Contributor
  • **
  • Posts: 277
  • Country: 00
Re: FPGA Advice
« Reply #22 on: April 03, 2013, 07:04:24 pm »
ISE Web pack is good enough for a start... you not gonna use half of it... :P You need the compiler which is the ISE itself which is free, and you need impact to upload your program if you work on linux, which is also free (I didn't tryed on mac, only on windows7 and ubuntu.)

What about the demo version of the commercial versions?
 

Offline joelby

  • Frequent Contributor
  • **
  • Posts: 634
Re: FPGA Advice
« Reply #23 on: April 07, 2013, 02:05:12 pm »
Xilinx FPGA development boards from the more well-known companies (Digilent, Avnet, Xilinx themselves) tend to come with non-expiring device-locked ISE licenses these days. The device locking is actually for a specific FPGA model - not the computer or dev board itself.
 


Share me

Digg  Facebook  SlashDot  Delicious  Technorati  Twitter  Google  Yahoo
Smf