Author Topic: LVDS interface between ADC and FPGA  (Read 1136 times)

0 Members and 1 Guest are viewing this topic.

Offline gauravmpTopic starter

  • Regular Contributor
  • *
  • Posts: 77
  • Country: us
LVDS interface between ADC and FPGA
« on: June 06, 2016, 05:39:23 pm »
Hi,

I'm wondering if anyone can provide with a design example for an LVDS interface between an FPGA and an ADC. Specifically, I'm using a MAX 10 FPGA and an LTC2311 ADC.
Also, could someone give me a way to implement the interface by just using shift registers.

Any help would be appreciated.

Thanks.
 

Offline Scrts

  • Frequent Contributor
  • **
  • Posts: 797
  • Country: lt
Re: LVDS interface between ADC and FPGA
« Reply #1 on: June 06, 2016, 08:24:05 pm »
For 5Msps you can easily use single-ended IO. On the other hand, you can accommodate two LVDS pairs: one for transmitting (look for LVDS TX) and one for receiving (LVDS RX). Most of the LVDS pairs are capable of receiving.
You need to provide LVDS levels and exact MAX10 part number for more information.
 


Share me

Digg  Facebook  SlashDot  Delicious  Technorati  Twitter  Google  Yahoo
Smf