Author Topic: Multi module systems communicate with data bus - VHDL  (Read 775 times)

0 Members and 1 Guest are viewing this topic.

Offline dr.cnetTopic starter

  • Newbie
  • Posts: 1
  • Country: hk
Multi module systems communicate with data bus - VHDL
« on: December 05, 2017, 05:32:22 am »
Dear developer,
I am a newbie member here and would appreciate it if you guide me through this problem.
I am learning VHDL with a book titled: "Structured Logic Design with VHDL, J R. Armstrong" which I think its some kind of difficult for me to solve the problems by myself.
I couldn't solve this one and now need help.
Thanks.

PS: Question attached.
« Last Edit: December 05, 2017, 06:39:05 pm by dr.cnet »
 


Share me

Digg  Facebook  SlashDot  Delicious  Technorati  Twitter  Google  Yahoo
Smf