Author Topic: So... I got a CLPD today... and it was Easy to use?  (Read 7035 times)

0 Members and 1 Guest are viewing this topic.

Offline miguelvp

  • Super Contributor
  • ***
  • Posts: 5550
  • Country: us
Re: So... I got a CLPD today... and it was Easy to use?
« Reply #25 on: January 24, 2016, 09:12:02 pm »
Some links, not really CPLD but HDL is HDL so they will help.

1st of course Hamster_nz's page
http://hamsterworks.co.nz/mediawiki/index.php/Main_Page
Look at his FPGA courses and VHDL links, I think all his projects are on VHDL with a few exceptions.

Then there is:
http://www.fpga4fun.com/index.html
I think this is mostly Verilog but there is a VHDL tips link.

And of course there is:
http://opencores.org/

Other links:
http://www.emb4fun.de/fpga.html

And if you want a beefier CPLD you could probably step up to a MAX 10 board, it's so big that it's pretty much an FPGA.
http://www.alterawiki.com/wiki/BeMicro_MAX_10

 


Share me

Digg  Facebook  SlashDot  Delicious  Technorati  Twitter  Google  Yahoo
Smf