Author Topic: ultrasonic sensor using vhdl  (Read 5620 times)

0 Members and 1 Guest are viewing this topic.

Offline swampythe47Topic starter

  • Newbie
  • Posts: 8
  • Country: in
ultrasonic sensor using vhdl
« on: August 15, 2018, 09:43:32 am »
I am a vhdl beginner and i want to interface an ultrasonic sensor on fpga board using vhdl. Can someone please help me how can i do it?
 

Offline FlyingDutch

  • Regular Contributor
  • *
  • Posts: 144
  • Country: pl
 

Offline swampythe47Topic starter

  • Newbie
  • Posts: 8
  • Country: in
Re: ultrasonic sensor using vhdl
« Reply #2 on: August 15, 2018, 10:16:38 am »
the code in video is not working
 

Offline FlyingDutch

  • Regular Contributor
  • *
  • Posts: 144
  • Country: pl
Re: ultrasonic sensor using vhdl
« Reply #3 on: August 15, 2018, 10:24:33 am »
Hello,

first of all:

1) What FPGA board are you using?
2) What software for syntesis are you using?
3) What errors you have?
4) Show code of your project

I am assuming that you are aware of fact that "user constraint file - ucf, xdc" for used FPGA ICs is needed (someone have to modify/create it for particular FPGA board).

Regards
 

Offline swampythe47Topic starter

  • Newbie
  • Posts: 8
  • Country: in
Re: ultrasonic sensor using vhdl
« Reply #4 on: August 15, 2018, 10:26:30 am »
I am using altera de1 board. There are no errors but the value doesnt change
 

Offline emece67

  • Frequent Contributor
  • **
  • !
  • Posts: 614
  • Country: 00
Re: ultrasonic sensor using vhdl
« Reply #5 on: August 15, 2018, 10:29:23 am »
.
« Last Edit: August 19, 2022, 01:55:47 pm by emece67 »
 

Offline FlyingDutch

  • Regular Contributor
  • *
  • Posts: 144
  • Country: pl
Re: ultrasonic sensor using vhdl
« Reply #6 on: August 15, 2018, 10:36:31 am »
Hi,

without seeing code I am not able to help you (show your project code). Crucial is also schematic showing how you attache the sensor to FPGA board (or photo). From what source do you powering you sensor? What is sensor type (model) - some have 5 Volt logic levels , in such case you need level converters.

Regards
« Last Edit: August 15, 2018, 10:52:24 am by FlyingDutch »
 

Offline emece67

  • Frequent Contributor
  • **
  • !
  • Posts: 614
  • Country: 00
Re: ultrasonic sensor using vhdl
« Reply #7 on: August 15, 2018, 10:45:59 am »
.
« Last Edit: August 19, 2022, 01:55:55 pm by emece67 »
 

Offline hamster_nz

  • Super Contributor
  • ***
  • Posts: 2803
  • Country: nz
Re: ultrasonic sensor using vhdl
« Reply #8 on: August 15, 2018, 10:49:45 am »
From back in November 2014.... (been published openly for a while, so isn't doing anybody's homework for them, other than maybe saving them from using Google)

http://hamsterworks.co.nz/mediawiki/index.php/Sonar

It works as described - ultrasonic sensor interfaced to FPGA displaying distance in cm on the 7-segment display.

Most of it is quite indirect - what is going on isn't going to be immediately obvious, especially to a beginner.

Free free to ask questions - but make them good questions!

Not "how does it work?" but "Where does the value '2900*2-1' come from?"
Gaze not into the abyss, lest you become recognized as an abyss domain expert, and they expect you keep gazing into the damn thing.
 


Share me

Digg  Facebook  SlashDot  Delicious  Technorati  Twitter  Google  Yahoo
Smf