Author Topic: Verilog, Vivado post synthesis simulation does not work  (Read 2872 times)

0 Members and 1 Guest are viewing this topic.

Offline gauravmpTopic starter

  • Regular Contributor
  • *
  • Posts: 77
  • Country: us
Verilog, Vivado post synthesis simulation does not work
« on: August 10, 2016, 02:26:02 pm »
Hi,

I've done a simulation before synthesis and it works just fine. I ran a synthesis and implementation and then run good with a few warnings. However, when I ran a post synthesis simulation, it gives a different waveform, something which i did not expect.

Could someone please tell me how I could find my errors?

Thanks
 

Offline Kilrah

  • Supporter
  • ****
  • Posts: 1852
  • Country: ch
Re: Verilog, Vivado post synthesis simulation does not work
« Reply #1 on: August 10, 2016, 02:42:20 pm »
How do you expect help with absolutely zero information?  :-//

Give as many details as you can about what you're trying to do, what you're getting, what you're not getting, what you're expecting to get...
 
The following users thanked this post: gauravmp

Offline Sal Ammoniac

  • Super Contributor
  • ***
  • Posts: 1670
  • Country: us
Re: Verilog, Vivado post synthesis simulation does not work
« Reply #2 on: August 10, 2016, 04:14:54 pm »
I assume the simulation that works is a behavioral simulation? That type of simulation does not take into account any synthesizer optimizations performed or any timing considerations.

When you run a post-synthesis or post-implementation functional simulation the simulator does take into account any optimizations made to your design during the synthesis and/or implementation steps. The synthesizer may have, for example, optimized away a part of your design that in turn causes the simulation to behave differently.

Behavioral simulations assume that propagation delays and setup/hold times are zero. Timing simulations do not, and if your design is wonky a timing simulation probably won't look like a behavioral simulation either.

I suggest you read the document titled Vivado Design Suite User Guide - Logic Simulation. It covers all these things.
Complexity is the number-one enemy of high-quality code.
 
The following users thanked this post: gauravmp

Offline gauravmpTopic starter

  • Regular Contributor
  • *
  • Posts: 77
  • Country: us
Re: Verilog, Vivado post synthesis simulation does not work
« Reply #3 on: August 10, 2016, 04:55:02 pm »
Thanks! I figured that I had multiple drivers which was messing up my code
 


Share me

Digg  Facebook  SlashDot  Delicious  Technorati  Twitter  Google  Yahoo
Smf