Author Topic: Xilinx Arty US$99 FPGA board. Expert opinions please.  (Read 17328 times)

0 Members and 1 Guest are viewing this topic.

Offline wilfredTopic starter

  • Super Contributor
  • ***
  • Posts: 1252
  • Country: au
Xilinx Arty US$99 FPGA board. Expert opinions please.
« on: October 01, 2015, 03:32:59 am »
/.
« Last Edit: August 21, 2018, 06:55:23 am by wilfred »
 

Offline hamster_nz

  • Super Contributor
  • ***
  • Posts: 2803
  • Country: nz
Re: Xilinx Arty US$99 FPGA board. Expert opinions please.
« Reply #1 on: October 01, 2015, 06:15:36 am »
Nice - esp with the node/device locked version of Vivado Design Edition, which includes a virtual logic analyzer. Just plan if you are going to license it to you PC or to a VM, or a Linux box with a dummy Eth0 with the MAC of de:ad:be:ef.

The FPGA is quite large for a $100 hobby board. Its got 256MB DDR, Ethernet (10/100) - lots of I/O pins if you wanted to hack up VGA output.

I've got the $50 more expensive Basys3 - it has the same FPGA but no Ethernet or DDR, and i think that that is a nice board making this nice at 2/3rds the price
Gaze not into the abyss, lest you become recognized as an abyss domain expert, and they expect you keep gazing into the damn thing.
 

Offline Psi

  • Super Contributor
  • ***
  • Posts: 9930
  • Country: nz
Re: Xilinx Arty US$99 FPGA board. Expert opinions please.
« Reply #2 on: October 01, 2015, 06:18:30 am »
if it had HDMI input and HDMI output i would have already ordered one  ;D
Greek letter 'Psi' (not Pounds per Square Inch)
 

Offline RogerRowland

  • Regular Contributor
  • *
  • Posts: 193
  • Country: gb
    • Personal web site
Re: Xilinx Arty US$99 FPGA board. Expert opinions please.
« Reply #3 on: October 01, 2015, 07:14:06 am »
Looks sort of interesting.... 
<snipped> lots and lots of really useful insight </snipped>

+1 million. As someone wondering about starting to get to grips with FPGAs, I found your post very very insightful. Excellent stuff. Respect.
 

Offline tggzzz

  • Super Contributor
  • ***
  • Posts: 19470
  • Country: gb
  • Numbers, not adjectives
    • Having fun doing more, with less
Re: Xilinx Arty US$99 FPGA board. Expert opinions please.
« Reply #4 on: October 01, 2015, 08:30:20 am »
Looks sort of interesting. 
I don't quite get why so many of the "basic" FPGA boards like this one tend to be designed so that there's barely a point to having a FPGA device in them.  Ok, they have LEDs that blink, DIP switches, your typical thigs like DRAM, an ethernet interface, maybe a USB interface, some low performance (PMOD, Arduino) I/O connectors.  Ok, that's basically all stuff you can get for less money using a MCU / CPU and its associated development board -- Cortex M4, Cortex Ax series, Raspberry PI, BeagleBone, etc. etc.  A CPU/MCU and its software ecosystem is typically going to be more cost effective for the silicon and the development engineering effort to do that kind of "general purpose" I/O and peripheral interfacing than a FPGA with the same interfaces will be.  FPGAs are great when you need some sort of high performance, high bandwidth, low latency I/O and/or data stream processing that can't be done with adequate parallelism / latency / predictability / bandwidth by a CPU/MCU.

The blinkies and switches are great for beginners' "hello world" designs, and maybe more.

The DRAM is great for data acquisition and storage. Using DRAM without a CPU slowing things down is a key advantage of an FPGA.


Quote
The PMOD and "Arduino" expansion headers are likely too poor in I/O count and probably performance (signal integrity, impedance control, ...) to be really very interesting to expand the FPGA I/Os to things other than typically simple bus type low performance peripherals that, again, might as well be interfaced to a MCU/CPU.

Yes indeed. Most low-cost boards fail dismally in those respects.

Quote
So only for a narrow set of applications is the FPGA + soft core CPU better than a FPGA + hard CPU integrated or FPGA + external CPU/MCU or CPU/MCU + I/O instead of FPGA.

There's validity to that, but the Zynq is a complex system. Even Vivado plus the other necessary tools require significant understanding and investment of time - doubly so for beginners.

My belief is that FPGA beginners they should choose a "logic only" application+device+tools. If that proves insufficient (or for a follow-on project), then having microblaze as a "escape route" is a nice bonus.
There are lies, damned lies, statistics - and ADC/DAC specs.
Glider pilot's aphorism: "there is no substitute for span". Retort: "There is a substitute: skill+imagination. But you can buy span".
Having fun doing more, with less
 

Offline Balaur

  • Supporter
  • ****
  • Posts: 525
  • Country: fr
Re: Xilinx Arty US$99 FPGA board. Expert opinions please.
« Reply #5 on: October 01, 2015, 08:39:41 am »
Gee, I would not be so categorical as evb149.

I worked with FPGAs for a long time and for me, the ARTY board is quite nice.

The XC7A35T is a much more interesting design that the Spartan 6 LX9 from the Papillio boards (roughly 3x more in terms of cells/LUTs, distributed and block RAMS).

In terms of price, the $99 is a good point. Even at Digilent, the previous Basys 3 board with the same FPGA is more expensive.
The direct Vivado compatibility for programming/debugging is a big plus.

A more complex board comes with additional headaches, including licensing issues.
Frankly, everybody at work is reaching for the trusty, small Nexys 4 boards much more often than the big Zync / Spartan-6 LX150T / ARIA boards.
Plenty enough resources to do your low-latency hardware-driven controller that has to reply within one clock cycle.

A soft core CPU is very interesting for all kind of control applications. It really depends on your needs though.
We've used the Microblaze (and before that the LEON family of CPUs) to implement some higher-level algorithms.
 

Offline lukier

  • Supporter
  • ****
  • Posts: 634
  • Country: pl
    • Homepage
Re: Xilinx Arty US$99 FPGA board. Expert opinions please.
« Reply #6 on: October 01, 2015, 09:31:30 am »
I agree with evb149. I hate connector arrangements in modern dev kits, especially putting stupid arduino headers everywhere.

This Arty board is a case in point. Nice FPGA, nice DRAM, so please leave SPI flash and power management and remove everything else, ethernet, your stupid arduino and PMOD connectors, switches and instead put two double row pin headers or high speed board to board connectors. And it should be cheaper in the end (just 2 B2B connectors instead of the whole shebang and eth and switches).

That's how it should be done:
http://www.trenz-electronic.de/products/fpga-boards/trenz-electronic/te0710.html

Unfortunately, it is probably not subsidized and in the end more expensive than Arty board!

All the essential and also difficult to solder stuff (BGA) is on the 6-8 layer PCB module, everything available on B2B connectors, so it is extremely flexible and very easy for me to embed into my own designs, even DIY etched prototypes.

The same argument goes for CPU/MCU boards. I hope I'm not the only one whining about Raspberry Pi idiotic all around connector arrangement. nVidia Jetson board is much better - everything mostly on one side. And again, the nicest things to embed are for example MarsBoard modules by HotMcu (need to try their new AM335x):

http://www.hotmcu.com/marsboard-cmam335x-p-231.html?cPath=34_42

STM32 discovery boards are also relatively OK, almost everything on pin headers and built-in JTAG. Except the new F7 which too has the Arduinosa-connectorosa disease  :palm:
 

Offline hamster_nz

  • Super Contributor
  • ***
  • Posts: 2803
  • Country: nz
Re: Xilinx Arty US$99 FPGA board. Expert opinions please.
« Reply #7 on: October 01, 2015, 10:36:23 am »
For Xilinx, things are usually node locked (keyed to Ethernet MAC and/or drive signature of the PC) and device locked (e.g can only write a bitstream for one device - in this case an Artix7-35t).

You can use that bitstream on any board with that device, but you can't  target a different part, or install the Vivado license on multiple PCs
Gaze not into the abyss, lest you become recognized as an abyss domain expert, and they expect you keep gazing into the damn thing.
 

Offline Balaur

  • Supporter
  • ****
  • Posts: 525
  • Country: fr
Re: Xilinx Arty US$99 FPGA board. Expert opinions please.
« Reply #8 on: October 01, 2015, 10:54:21 am »
For Xilinx, things are usually node locked (keyed to Ethernet MAC and/or drive signature of the PC) and device locked (e.g can only write a bitstream for one device - in this case an Artix7-35t).

You can use that bitstream on any board with that device, but you can't  target a different part, or install the Vivado license on multiple PCs

There are several editions.

The WebPack editions (Vivado or ISE) are node-locked, yes. You can still change the node three times, and I guess that you can always create a new account?
The WebPack supports several families of devices, with restrictions of course.

There are Vivado editions that are offered by development boards manufacturers for specific devices. These may be device-locked only (i.e. you can install them many times but only work for one type of devices) or device-locked and node-locked.

 

Offline brucehoult

  • Super Contributor
  • ***
  • Posts: 4028
  • Country: nz
Re: Xilinx Arty US$99 FPGA board. Expert opinions please.
« Reply #9 on: June 13, 2017, 11:18:52 am »
I agree with evb149. I hate connector arrangements in modern dev kits, especially putting stupid arduino headers everywhere.

This Arty board is a case in point. Nice FPGA, nice DRAM, so please leave SPI flash and power management and remove everything else, ethernet, your stupid arduino and PMOD connectors, switches and instead put two double row pin headers or high speed board to board connectors. And it should be cheaper in the end (just 2 B2B connectors instead of the whole shebang and eth and switches).

That's how it should be done:
http://www.trenz-electronic.de/products/fpga-boards/trenz-electronic/te0710.html

Maybe there's nothing wrong with this board as such, but it's just not a board intended for you (or for evb149)?

The Arty has proven to be popular with people who are experimenting with and developing new CPU designs, or specialised instructions&functional units added to existing CPUs. It's 10 - 30 times slower than the eventual SoC, but many times faster than simulating HDL in software. And it's fast enough to boot Linux and run things with something like original Pentium performance or better.

So a board that essentially uses the FPGA as the CPU in a PC, surrounded by RAM, ethernet, and arduino-style I/O (for which there are masses of shields available) makes perfect sense.

Just not to people who want to use their FPGA as random logic.
 

Offline rstofer

  • Super Contributor
  • ***
  • Posts: 9889
  • Country: us
Re: Xilinx Arty US$99 FPGA board. Expert opinions please.
« Reply #10 on: June 13, 2017, 01:49:34 pm »
I just found out about this new dev kit. Available for US$99. Not so good with Aussie $ in decline but It sounds OK.
http://www.xilinx.com/products/boards-and-kits/arty.html
http://www.xilinx.com/products/silicon-devices/fpga/artix-7.html

I am seeking a board suitable for a beginner with FPGA's (ie me). What do some of you FPGA experts  think of this one? I like, without being really sure why, that it includes the Microblaze processor core and has 256MB DRAM.

There are a lot of things the Arty isn't but it will work very well for a beginner.  I would probably prefer the Basys 3 because it has more interesting features on the board but either will do well.  And, yes, I have both.

I have only played around a little with Artix and Vivado.  My CPU project runs on a Spartan 3 Nexys 2 board which is currently out of production.  If I were to port the project, it would go to the Basys 3.

 

Offline NorthGuy

  • Super Contributor
  • ***
  • Posts: 3140
  • Country: ca
Re: Xilinx Arty US$99 FPGA board. Expert opinions please.
« Reply #11 on: June 13, 2017, 02:56:59 pm »
This Arty board is a case in point. Nice FPGA, nice DRAM, so please leave SPI flash and power management and remove everything else, ethernet, your stupid arduino and PMOD connectors, switches and instead put two double row pin headers or high speed board to board connectors. And it should be cheaper in the end (just 2 B2B connectors instead of the whole shebang and eth and switches).

You would get something like this: http://store.digilentinc.com/cmod-a7-breadboardable-artix-7-fpga-module/

I think it's all you need, but this particular one is probably underpowered and you may run out of power if you have big or fast design.

Arty has more power, Internet (but 10/100, not Gigabit), on-board DDR3 may come handy, and it's cheap too.

If you want to learn how FPGA fabric works and build few simple applications, you can do it with practically any board. Pinout doesn't matter much because FPGA lets you assign pins. Although if you want your pins running at different voltages, you need to look at the board schematics, verify bank assignment for the pins and find out if the board lets you change VCCO supplies for pin banks.

If you want to do some high-speed projects where signal integrity matters, it may not work with wires hanging over the air. So, you will have to install your device on the same board as FPGA, possibly matching trace lengths and/or impedance. In this case, you're unlikely to find a board which meets your needs. Therefore, you will have to make your own board, which is tremendously more expensive than getting a ready-made board.


 

Offline eduardofpga

  • Newbie
  • Posts: 1
  • Country: us
Re: Xilinx Arty US$99 FPGA board. Expert opinions please.
« Reply #12 on: October 25, 2017, 10:02:24 pm »
Does anyone have any recommendations on numato's Artix 7 https://numato.com/product/neso-artix-7-fpga-development-board ?
 

Offline rstofer

  • Super Contributor
  • ***
  • Posts: 9889
  • Country: us
Re: Xilinx Arty US$99 FPGA board. Expert opinions please.
« Reply #13 on: October 25, 2017, 10:58:45 pm »
Everybody has to start somewhere and the Arty is as good a place as any.  I have the Arty and I also have the both the Basys 3 and Nexys 4 DDR (way overkill except see below) and they both work well with Vivado.

I am totally confused with Vivado licensing.  In the beginning, the software was supposed to be device locked and I was given a certificate with the Arty to use in licensing.  Time goes by and now I'm working with the Nexys 4 DDR and it wasn't necessarily included in the certificate licensing scheme.  Somehow, I can program it with Vivado and use all of the free IP that comes in the package such as Microblaze and the DDR core.  At the Digilent site, they no longer talk about including a certificate with the Arty.

I think Xilinx has dumped much of the restrictions on Vivado.

The Arty is a terrific way to get started because you get to start with the newest software on a modern device.  You don't have to learn ISE only to have to start over with Vivado.  OTOH, Vivado, to me, seems like a steeper climb mostly because I don't understand the constraints files as well as I should.  That's ok, I didn't understand them when I first started with ISE either.

HOWEVER...  I prefer a board with more gadgets.  Lots of switches, plenty of 7 segment displays, a wide array of LEDs.  These are invaluable when bringing up a new project.  Single stepping is a lot easier if the board has a pushbutton or 2 (or 4).

If the board requires any kind of off-board programmer, it is out of the question.  I leave my board connected via USB and it takes just a click or two to program it.  Furthermore, I have a USB serial port for IO for which I just need to instantiate the UART.  The absolute last thing I want to do use use a JTAG dongle.

Hence the Nexys 4 DDR.  BTW, that board comes with a logic component that makes the DDR look like SRAM.  I haven't used it but I will.  The next to the last thing I ever want to do is get involved with creating a DDR driver.

As to the Neso board, it seems terribly overpriced.  I might consider it if I wanted to integrate the entire board into a project and I could just plug it in but, for development, it doesn't have any of the gadgets I want.  It does have a much larger FPGA than the Arty.
 

Offline asmi

  • Super Contributor
  • ***
  • Posts: 2730
  • Country: ca
Re: Xilinx Arty US$99 FPGA board. Expert opinions please.
« Reply #14 on: October 26, 2017, 05:45:50 pm »
Arty is a good start, but like was said above you're likely to "outgrow" it quite quickly. That's why I'm building my own devboards, which have things that I want to have on them. It isn't too hard, but it is a bit pricey. You'd have to buy something like ZedBoard if you want to have FMC connector which you can use to connect high-speed stuff to.
BTW - Microblaze is free for any 7-series or Zynq device, no need to buy any licenses.

Offline brucehoult

  • Super Contributor
  • ***
  • Posts: 4028
  • Country: nz
Re: Xilinx Arty US$99 FPGA board. Expert opinions please.
« Reply #15 on: October 26, 2017, 06:54:10 pm »
Does anyone have any recommendations on numato's Artix 7 https://numato.com/product/neso-artix-7-fpga-development-board ?

Three times the price of an Arty. Three times the LUTS and onboard RAM and so forth. Eight times the external DDR RAM. So you're getting your money's worth.

But is it as easy to learn and play around with? Looks more like a deployment board than a prototyping/experimenting board.
 

Offline asmi

  • Super Contributor
  • ***
  • Posts: 2730
  • Country: ca
Re: Xilinx Arty US$99 FPGA board. Expert opinions please.
« Reply #16 on: October 26, 2017, 07:33:12 pm »
But is it as easy to learn and play around with? Looks more like a deployment board than a prototyping/experimenting board.
It says so right on the tin:
Quote
Why Neso?
Designed for OEM Integration

Offline hamster_nz

  • Super Contributor
  • ***
  • Posts: 2803
  • Country: nz
Re: Xilinx Arty US$99 FPGA board. Expert opinions please.
« Reply #17 on: October 27, 2017, 04:37:38 am »
<troll>
I won't touch Xilinx unless absolutely necessary.
Their software is a nightmare to learn.
Even simple features, such as disable pull ups for unused pins, can be hard to find in Vivado.
There are a lot of online tutorials, but all for ISE.
Eventually after ten minutes of Googling and trying, I gave up and used the Tcl command to do the job.
IMHO, a "beginner friendly" tool shouldn't force their user to type even a single line of Tcl command.

I AM BIASED, and I have to say I've used Altera and Lattice chips a lot, but I spent almost no time to get Quartus II up and running, and the UI remains the same in later Quartus Prime.
I spent 2 hours to get iceCube2 to work, and roughly the same amount of time to get myself familiarized with PSoC Studio and Libero Gold.
Only Vivado is the tool that I have to spend more than half a day to get it running and generate some basic IP.
Also, Vivado is the only tool that doesn't work out of the box. .NET version checker didn't work with newer versions of .NET, and Windows doesn't allow me to install an older version.
At the end of the day, I had to analyze its return value and wrote a mock up which returns the correct version number to trick Vivado to even start.
For this very reason, my Digilent 7A35 gum stick board is almost never used -- I don't like Vivado at all.
</troll>

Code: [Select]
sed s/Xilinx/Altera/g

I used to think the same of Quartus, until I was forced to use it.

I've come to the conclusion that most  (all?) FPGA toolsets are like this:


« Last Edit: October 27, 2017, 04:39:10 am by hamster_nz »
Gaze not into the abyss, lest you become recognized as an abyss domain expert, and they expect you keep gazing into the damn thing.
 

Offline mac.6

  • Regular Contributor
  • *
  • Posts: 225
  • Country: fr
Re: Xilinx Arty US$99 FPGA board. Expert opinions please.
« Reply #18 on: October 27, 2017, 08:52:36 am »
Arty is a fine board, but little bit tailored on microblaze development. Good ressource and documentation (which is important). I also have a icoboard board, and it's documentation is really poor and a real pain in the *ss to use (no proper silkscreen, misleading schematics etc...).
The only downside I see from it is the DDR, as SRAM is probably more usefull for a fpga beginner.
So breadboardable A7 board is better in this case, but need a breadboard to be really usable.
PMOD are nice when you only want to test things quickly, and you can use an arduino breadboard shield on these sh*tty arduino headers.
Btw, you don't need the node locked version of vivado, webpack is just fine with it if you don't go the microblaze way.
 

Offline asmi

  • Super Contributor
  • ***
  • Posts: 2730
  • Country: ca
Re: Xilinx Arty US$99 FPGA board. Expert opinions please.
« Reply #19 on: October 27, 2017, 12:33:42 pm »
<troll>
I won't touch Xilinx unless absolutely necessary.
Their software is a nightmare to learn.
Even simple features, such as disable pull ups for unused pins, can be hard to find in Vivado.
There are a lot of online tutorials, but all for ISE.
Eventually after ten minutes of Googling and trying, I gave up and used the Tcl command to do the job.
IMHO, a "beginner friendly" tool shouldn't force their user to type even a single line of Tcl command.

I AM BIASED, and I have to say I've used Altera and Lattice chips a lot, but I spent almost no time to get Quartus II up and running, and the UI remains the same in later Quartus Prime.
I spent 2 hours to get iceCube2 to work, and roughly the same amount of time to get myself familiarized with PSoC Studio and Libero Gold.
Only Vivado is the tool that I have to spend more than half a day to get it running and generate some basic IP.
Also, Vivado is the only tool that doesn't work out of the box. .NET version checker didn't work with newer versions of .NET, and Windows doesn't allow me to install an older version.
At the end of the day, I had to analyze its return value and wrote a mock up which returns the correct version number to trick Vivado to even start.
For this very reason, my Digilent 7A35 gum stick board is almost never used -- I don't like Vivado at all.
</troll>
I can say exactly opposite - Vivado/Vivado HLS is the best thing ever happened to HDL IDE, and Xilinx gives away a lot of IPs, which you have to pay money for with Altera/Intel (can we call it "Antel"? :) ) or Lattice. Infact Antel/Lattice don't even offer free DDR3 controller for God's sake! :palm:
So for hobbyists - Xilinx is my far the best option.
And in 2 years I'm using Vivado I didn't have a single problem with it.

Offline ElektroQuark

  • Supporter
  • ****
  • Posts: 1244
  • Country: es
    • ElektroQuark
Re: Xilinx Arty US$99 FPGA board. Expert opinions please.
« Reply #20 on: October 27, 2017, 12:46:44 pm »
Cheapest FPGA supported by Vivado?

Offline asmi

  • Super Contributor
  • ***
  • Posts: 2730
  • Country: ca
Re: Xilinx Arty US$99 FPGA board. Expert opinions please.
« Reply #21 on: October 27, 2017, 01:15:31 pm »
Cheapest FPGA supported by Vivado?
Currently $25 for Artix-7, soon there will be cheaper Spartan-7 available in cheap-Chinese-4-layer-friendly (if Xilinx rep is to be believed) BGA-196x1.0 package (even though Artix-7 in FBGA-256x1.0 package can be routed on same cheap 4-layer board if you don't mind having few pins unbroken).
The board is going to cost more to manufacture (unless you go into mass production) than this IC.
« Last Edit: October 27, 2017, 01:17:30 pm by asmi »
 

Offline joeqsmith

  • Super Contributor
  • ***
  • Posts: 11709
  • Country: us
Re: Xilinx Arty US$99 FPGA board. Expert opinions please.
« Reply #22 on: October 28, 2017, 04:28:45 pm »
I bought an Arty and started to play around with it.   It was an inexpensive way to play with the new tools.   

https://www.eevblog.com/forum/microcontrollers/typical-speed-of-fpgas/msg1282290/#msg1282290

Offline mac.6

  • Regular Contributor
  • *
  • Posts: 225
  • Country: fr
Re: Xilinx Arty US$99 FPGA board. Expert opinions please.
« Reply #23 on: November 03, 2017, 04:01:53 pm »
Have you seen that there is a Arty with A7-100 listed in the store (no price yet)?
 

Offline asmi

  • Super Contributor
  • ***
  • Posts: 2730
  • Country: ca
Re: Xilinx Arty US$99 FPGA board. Expert opinions please.
« Reply #24 on: November 03, 2017, 04:38:07 pm »
Have you seen that there is a Arty with A7-100 listed in the store (no price yet)?
Without hi-speed extension options it's even more pointless than original one is. At least with A35 you're less likely to be limited by that, as just DDR3 + MB alone takes up about half of it's resources.

Offline sundersoft

  • Newbie
  • Posts: 6
  • Country: us
Re: Xilinx Arty US$99 FPGA board. Expert opinions please.
« Reply #25 on: November 03, 2017, 05:55:41 pm »
The FPGA on this board doesn't seem to support 6.6Gb/s GTP according to the datasheets.

The 7 series product selection guide says that the CSG324 package doesn't have any GTP transcievers. The Artix 7 datasheet says that only speed grades 2 and 3 support 6.6Gb/s for GTP.

However, the web page claims that it has four 6.6Gb/s GTP transcievers.

Is there an error on the webpage or is the datasheet wrong?
 

Offline asmi

  • Super Contributor
  • ***
  • Posts: 2730
  • Country: ca
Re: Xilinx Arty US$99 FPGA board. Expert opinions please.
« Reply #26 on: November 03, 2017, 07:06:13 pm »
The FPGA on this board doesn't seem to support 6.6Gb/s GTP according to the datasheets.

The 7 series product selection guide says that the CSG324 package doesn't have any GTP transcievers. The Artix 7 datasheet says that only speed grades 2 and 3 support 6.6Gb/s for GTP.

However, the web page claims that it has four 6.6Gb/s GTP transcievers.

Is there an error on the webpage or is the datasheet wrong?
Where did you find information about GTPs on Arty board? I just searched the store page for the board and didn't find any mentions of transceivers.
They are available in CSG325 package, but not in CSG324.

Offline sundersoft

  • Newbie
  • Posts: 6
  • Country: us
Re: Xilinx Arty US$99 FPGA board. Expert opinions please.
« Reply #27 on: November 03, 2017, 07:59:06 pm »
https://www.xilinx.com/products/boards-and-kits/arty.html#hardware

If you scroll to the bottom of the hardware tab on the page, it mentions GTP tranceivers. I assume it's a mistake on the page.
 

Offline asmi

  • Super Contributor
  • ***
  • Posts: 2730
  • Country: ca
Re: Xilinx Arty US$99 FPGA board. Expert opinions please.
« Reply #28 on: November 03, 2017, 10:39:46 pm »
https://www.xilinx.com/products/boards-and-kits/arty.html#hardware

If you scroll to the bottom of the hardware tab on the page, it mentions GTP tranceivers. I assume it's a mistake on the page.
Yes, I think it's a mistake on their part. There are no mentions of GTPs on Digilent's page.
 
The following users thanked this post: sundersoft

Offline hamster_nz

  • Super Contributor
  • ***
  • Posts: 2803
  • Country: nz
Re: Xilinx Arty US$99 FPGA board. Expert opinions please.
« Reply #29 on: November 04, 2017, 12:22:39 am »
https://www.xilinx.com/products/boards-and-kits/arty.html#hardware

If you scroll to the bottom of the hardware tab on the page, it mentions GTP tranceivers. I assume it's a mistake on the page.

I am 100% sure that they have transcievers on the FPGA die, not sure if they are connected to the package, and enev if they are they are not used on the Arty board.
Gaze not into the abyss, lest you become recognized as an abyss domain expert, and they expect you keep gazing into the damn thing.
 

Offline asmi

  • Super Contributor
  • ***
  • Posts: 2730
  • Country: ca
Re: Xilinx Arty US$99 FPGA board. Expert opinions please.
« Reply #30 on: November 04, 2017, 01:09:34 am »
I am 100% sure that they have transcievers on the FPGA die, not sure if they are connected to the package, and enev if they are they are not used on the Arty board.
Of course they are on die, but in 324 package they are not bonded out, while in 325 package they are. Go figure!

Offline Someone

  • Super Contributor
  • ***
  • Posts: 4525
  • Country: au
    • send complaints here
Re: Xilinx Arty US$99 FPGA board. Expert opinions please.
« Reply #31 on: November 04, 2017, 02:42:36 am »
https://www.xilinx.com/products/boards-and-kits/arty.html#hardware
If you scroll to the bottom of the hardware tab on the page, it mentions GTP tranceivers. I assume it's a mistake on the page.
I am 100% sure that they have transcievers on the FPGA die, not sure if they are connected to the package, and enev if they are they are not used on the Arty board.
Parts without transceivers have had both no bonds attached to them, and been laser trimmed out of the power supply network so they don't consume any power. The CSG324 and CSG325 packages trade more IO for transceivers depending on ones needs.
 


Share me

Digg  Facebook  SlashDot  Delicious  Technorati  Twitter  Google  Yahoo
Smf