Author Topic: Starting on Lattice Radiant software with ICE40 FPGA  (Read 344 times)

0 Members and 1 Guest are viewing this topic.

Offline Akshar001Topic starter

  • Newbie
  • Posts: 1
  • Country: in
Starting on Lattice Radiant software with ICE40 FPGA
« on: September 11, 2021, 04:44:44 am »
Hey,

I am trying to run Radiant Software for ICE40 FPGA development, the problem i have is about linking external clock to top module.

I didn't see any documents or can not understand it. I have easily done that thing on Arty A35 just using create_clock but in radiant it seems not working.

Can anybody guid me here?
 

Offline iMo

  • Super Contributor
  • ***
  • Posts: 4793
  • Country: pm
  • It's important to try new things..
Re: Starting on Lattice Radiant software with ICE40 FPGA
« Reply #1 on: September 11, 2021, 07:49:32 am »
Do you mean how to create a constraint for the input clock?
There is the constraint editor in the Radiant - you may define the clock parameters there.
 


Share me

Digg  Facebook  SlashDot  Delicious  Technorati  Twitter  Google  Yahoo
Smf