Author Topic: What's currently the best FPGA development board for a beginner?  (Read 31272 times)

0 Members and 1 Guest are viewing this topic.

Online VEGETA

  • Super Contributor
  • ***
  • Posts: 1946
  • Country: jo
  • I am the cult of personality
    • Thundertronics
Re: What's currently the best FPGA development board for a beginner?
« Reply #25 on: July 15, 2020, 07:57:41 am »
I've seen the Pmod stuff: https://store.digilentinc.com/pmod-expansion-modules/pmod-boards/

which ones are good to have? I myself saw the sdcard and vga to be good to have... while there are also "Pmod packs" for each board such as this one for Spartan 7: https://store.digilentinc.com/artys7-pmod-pack/


Offline Renate

  • Super Contributor
  • ***
  • Posts: 1460
  • Country: us
Re: What's currently the best FPGA development board for a beginner?
« Reply #26 on: July 15, 2020, 12:10:00 pm »
Yeah, there are a lot of PMOD modules.
The ones that hit my eye were the I²S audio module and the VGA module.
But the VGA module takes two PMOD slots and I have a CMOD A7 with only one PMOD.
So I'll perfboard (and maybe later PCB) a single PMOD module that only uses 8 colors (single bit).
I'm using an old VGA cable that I cut off a wonky end.
 

Online VEGETA

  • Super Contributor
  • ***
  • Posts: 1946
  • Country: jo
  • I am the cult of personality
    • Thundertronics
Re: What's currently the best FPGA development board for a beginner?
« Reply #27 on: July 15, 2020, 07:36:33 pm »
Now I am trying to download vivado and I get this (I am signed in):

Quote
Please correct the errors and send your information again.

We cannot fulfill your request as your account has failed export compliance verification.


Maybe I should wait for 24 hours or so? I listed all info but company name I put "Thundertronics" which is my youtube channel, and my personal gmail email.

Offline rstofer

  • Super Contributor
  • ***
  • Posts: 9889
  • Country: us
Re: What's currently the best FPGA development board for a beginner?
« Reply #28 on: July 16, 2020, 01:03:16 am »
It appears there are export restrictions applied to your country.
 

Online VEGETA

  • Super Contributor
  • ***
  • Posts: 1946
  • Country: jo
  • I am the cult of personality
    • Thundertronics
Re: What's currently the best FPGA development board for a beginner?
« Reply #29 on: July 16, 2020, 05:22:11 am »
It appears there are export restrictions applied to your country.

I am in Jordan and we never suffered any of these stuff. So now what to do?

Offline rstofer

  • Super Contributor
  • ***
  • Posts: 9889
  • Country: us
Re: What's currently the best FPGA development board for a beginner?
« Reply #30 on: July 16, 2020, 06:23:23 am »
It appears there are export restrictions applied to your country.

I am in Jordan and we never suffered any of these stuff. So now what to do?

https://www.xilinx.com/support/answers/44043.html
 

Online VEGETA

  • Super Contributor
  • ***
  • Posts: 1946
  • Country: jo
  • I am the cult of personality
    • Thundertronics
Re: What's currently the best FPGA development board for a beginner?
« Reply #31 on: July 16, 2020, 06:40:34 am »
It appears there are export restrictions applied to your country.

I am in Jordan and we never suffered any of these stuff. So now what to do?

https://www.xilinx.com/support/answers/44043.html

So I need to clear cache and try again? I used chrome to do it which doesn't have any sign in for xilinx and still didn't work. I will try to send them an email... this thing is so annoying! why not just download the thing without the need to sign in? I assure you, no rockets are in my plans xD

Offline Renate

  • Super Contributor
  • ***
  • Posts: 1460
  • Country: us
Re: What's currently the best FPGA development board for a beginner?
« Reply #32 on: July 16, 2020, 11:35:13 am »
This thing is so annoying!
Maybe the same people who wrote Vivado did the website?

Unrelated rant:
Being old school one of the criteria I have for judging a programming platform is to see how many files a "Hello world!" project generates.
For my cheesy blinky LED Vivado generates 240 files with 45 different file extensions.
 

Online VEGETA

  • Super Contributor
  • ***
  • Posts: 1946
  • Country: jo
  • I am the cult of personality
    • Thundertronics
Re: What's currently the best FPGA development board for a beginner?
« Reply #33 on: July 16, 2020, 12:11:34 pm »
Quote
Maybe the same people who wrote Vivado did the website?

hhh I know, but some frustration generates anger... in another place  |O

Quote
Unrelated rant:
Being old school one of the criteria I have for judging a programming platform is to see how many files a "Hello world!" project generates.
For my cheesy blinky LED Vivado generates 240 files with 45 different file extensions.

I kinda agree with you but it is the official tool and people report it is great... but damn, +15 gb or so, I do have space for it though.

I like official tools like in PIC MCUs... pickit3 + mplab x.

I have sent an email and will wait and see.




Offline Renate

  • Super Contributor
  • ***
  • Posts: 1460
  • Country: us
Re: What's currently the best FPGA development board for a beginner?
« Reply #34 on: July 16, 2020, 01:18:53 pm »
But damn, +15 gb or so...
Expanded onto the disk it's more like 30GB.

With my old PC with 4GB memory it was almost unusable.
As a minimum you will need 8GB for small projects and 16GB for large projects.
 

Online VEGETA

  • Super Contributor
  • ***
  • Posts: 1946
  • Country: jo
  • I am the cult of personality
    • Thundertronics
Re: What's currently the best FPGA development board for a beginner?
« Reply #35 on: July 16, 2020, 07:07:31 pm »
I have about 120gb of free ssd space on C, and about 450gb free hdd space on D.

My issue now is just downloading the thing. Can't someone download the web installer and send it to me? upload it on say mega.nz, dropbox or any site, then I download using my account.

Offline rstofer

  • Super Contributor
  • ***
  • Posts: 9889
  • Country: us
Re: What's currently the best FPGA development board for a beginner?
« Reply #36 on: July 17, 2020, 02:08:07 pm »
I have about 120gb of free ssd space on C, and about 450gb free hdd space on D.

My issue now is just downloading the thing. Can't someone download the web installer and send it to me? upload it on say mega.nz, dropbox or any site, then I download using my account.

And get crossed up with the Feds?  Not one chance in the world!

Wait and see what Xilinx says.  The web site didn't say it was impossible but that they had to have some time to check it out.  With the CV-19 stuff, many positions are vacant and things are taking longer.


 

Online VEGETA

  • Super Contributor
  • ***
  • Posts: 1946
  • Country: jo
  • I am the cult of personality
    • Thundertronics
Re: What's currently the best FPGA development board for a beginner?
« Reply #37 on: July 17, 2020, 04:06:08 pm »
Quote
And get crossed up with the Feds?  Not one chance in the world!

Looks like downloads are signed or something... ok I will wait until they respond to emails.

Till now I decided on Spartan 7 board with some extensions. I wonder if this one can interface to good speed RAM, flash memory, sd cards...etc easily. Their IP config thing does not seem to include this particular family.

Ultimate goal is to have functionality like getting certain data from HDD\SD card then put it into RAM\ROM to use it or deliver it to the game console system. This seems so hard to do for my level now so I wanted to ask if people have experience doing such things or parts of it... how and what are the requirements? is say 1 year of practice will get me to this level?

I guess I will start with this one: https://www.amazon.com/Vhdl-Example-Blaine-Readler/dp/0983497354

Offline rstofer

  • Super Contributor
  • ***
  • Posts: 9889
  • Country: us
Re: What's currently the best FPGA development board for a beginner?
« Reply #38 on: July 17, 2020, 04:47:00 pm »
A year is a long time so you can come quite far.  It's all about practice.  You get good at writing code by a) reading other people's code (including books) and b) writing your own code and then re-writing it when you see a better way.

I know there is a lot of interest in SD cards but the FPGA end can be quite complex due to speed changes during initialization.  I have had great success using Compact Flash devices as they have a standard ATA parallel interface.  It takes a lot of pins but it can be pretty fast.

https://www.delkin.com/blog/technical-guide-compactflash-cf-secure-digital-sd-cards/
 

Online VEGETA

  • Super Contributor
  • ***
  • Posts: 1946
  • Country: jo
  • I am the cult of personality
    • Thundertronics
Re: What's currently the best FPGA development board for a beginner?
« Reply #39 on: July 17, 2020, 04:58:44 pm »
A year is a long time so you can come quite far.  It's all about practice.  You get good at writing code by a) reading other people's code (including books) and b) writing your own code and then re-writing it when you see a better way.

I know there is a lot of interest in SD cards but the FPGA end can be quite complex due to speed changes during initialization.  I have had great success using Compact Flash devices as they have a standard ATA parallel interface.  It takes a lot of pins but it can be pretty fast.

https://www.delkin.com/blog/technical-guide-compactflash-cf-secure-digital-sd-cards/

Yes I will do the required effort, that is for sure.

The types of projects that I aim for is to replace the disc-drive or cartridge of retro game consoles by a board which takes game data from sd card or hdd. Say a Neo Geo AES game cartridge or DreamCast GD-ROM.

The system should have an FPGA to emulate whatever is necessary... get the game data (.iso, .bin\.cue, .zip...etc) from an HDD or SDcard, then either directly deliver the data to the game console or store that data in a ROM\RAM then delivers it to the game console. That is the thing I aim for. Of course stuff like GUI and so on is not the main topic.

One certain project to do that is GD-EMU which got cloned for 35$ or so on aliexpress. it replaces dreamcast gd-rom and allows you to use an sdcard instead.

I wonder how can I start to know what to do to achieve these stuff? like, read the ic datasheet then try to make VHDL entities to do the same?

more better projects have hdd support like terraonion MODE. so we got an fpga + ARM mcu + hdd\sdcard stuff all connected together.

this is the level I want to achieve and I can dedicate daily time for it... what do you think I should do?

thanks for your continuous cooperation in this thread!

Offline Renate

  • Super Contributor
  • ***
  • Posts: 1460
  • Country: us
Re: What's currently the best FPGA development board for a beginner?
« Reply #40 on: July 17, 2020, 06:30:53 pm »
With FPGAs there is a lot of potential to do useful things.
Still, both Verilog & VHDL harken back to the 1980's and are inherently ugly.
Add to this all the cruft that the manufacturers have jerry-rigged in to make this all work and you'd best hold your nose when coding.

I added a single PLL, X MHz in, Y MHz out. The amount of cruft increased by 0.5 Meg.
They can't even be bothered to format their templates.
Hmm, 5 empty lines in this template. Who got to decide if it were 4 or 5 empty lines?
Ok, in Unix EOL that's only a few chars.
But a general lack of care and pride makes one wonder how they do routing and layout and things that do really matter.

It took me a bit of time to figure out that the instance name in a parameterized module doesn't go in the front. |O
Code: [Select]
    frobulator main_frobulator(a, b, c);
    eviscerator #(.PEOPLE(1)) aux_eviscerator(d, e, f)
 

Offline Renate

  • Super Contributor
  • ***
  • Posts: 1460
  • Country: us
Re: What's currently the best FPGA development board for a beginner?
« Reply #41 on: July 18, 2020, 03:33:31 am »
So, here's another thing:
I bought my Digilent CMOD A7 from DigiKey.
Since I started out using it, it has always been flakey on the USB, disconnecting at random.
It drives the Vivado Hardware Manager crazy.

Well, it turns out that this is a well-known problem.
The folks at Digilent have been stonewalling for years, blaming cables despite people trying all sorts of cables/hubs/computers.
Apparently, if you complain enough they'll replace it.
There is also somewhat of a fix by adding a capacitor.
All of this pops up at a simple CMOD A7 USB problems search.

I just did the mod and the problems seem to be gone.
 

Online tggzzz

  • Super Contributor
  • ***
  • Posts: 19469
  • Country: gb
  • Numbers, not adjectives
    • Having fun doing more, with less
Re: What's currently the best FPGA development board for a beginner?
« Reply #42 on: July 18, 2020, 08:00:11 am »
With FPGAs there is a lot of potential to do useful things.
Still, both Verilog & VHDL harken back to the 1980's and are inherently ugly.
Add to this all the cruft that the manufacturers have jerry-rigged in to make this all work and you'd best hold your nose when coding.

I added a single PLL, X MHz in, Y MHz out. The amount of cruft increased by 0.5 Meg.
They can't even be bothered to format their templates.
Hmm, 5 empty lines in this template. Who got to decide if it were 4 or 5 empty lines?
Ok, in Unix EOL that's only a few chars.
But a general lack of care and pride makes one wonder how they do routing and layout and things that do really matter.

It took me a bit of time to figure out that the instance name in a parameterized module doesn't go in the front. |O
Code: [Select]
    frobulator main_frobulator(a, b, c);
    eviscerator #(.PEOPLE(1)) aux_eviscerator(d, e, f)

Since you are discussing one manufacturer's tool (i.e. not the language nor hardware), it would be helpful to specify which tool.
There are lies, damned lies, statistics - and ADC/DAC specs.
Glider pilot's aphorism: "there is no substitute for span". Retort: "There is a substitute: skill+imagination. But you can buy span".
Having fun doing more, with less
 

Offline Renate

  • Super Contributor
  • ***
  • Posts: 1460
  • Country: us
Re: What's currently the best FPGA development board for a beginner?
« Reply #43 on: July 18, 2020, 11:55:59 am »
It would be helpful to specify which tool.
Sorry, I was speaking of Xilinx Vivado 2020.1

As for the CMOD A7:
I took out the diode and bypassed it.
I'm not particularly recommending this because it will affect things if you want to externally power it.
Still, things worked a lot better that way even before I put in the capacitor.
Now that I've got the capacitor in, it's solid.

The thing is, this is not rocket science.
It's an FTDI FT2232HQ JTAG/UART
It supposed to be able to maintain a solid USB connection.
It has nothing to do with Xilinx chip programming/booting as far as the USB connection goes.
I should have investigated this earlier when I was experiencing trouble getting the UART to work solid.
I did see that it was flailing/failing USB high speed negotiation.
 

Online VEGETA

  • Super Contributor
  • ***
  • Posts: 1946
  • Country: jo
  • I am the cult of personality
    • Thundertronics
Re: What's currently the best FPGA development board for a beginner?
« Reply #44 on: July 18, 2020, 03:24:31 pm »
I still didn't receive any update from Xilinx, do they take this long usually?

As for books to get, right now this is my primary choice:

VHDL by example

it is about 120 pages, so maybe this is the best start for a beginner.

I saw this interesting one too:

Circuit design with VHDL, 3rd edition

Seems popular and this is a new edition, I always like new editions for textbooks.

Also, this one seems interesting and big:

FPGA Prototyping by VHDL Examples: Xilinx Spartan-3 Version


It uses Spartan 3 but I will get Spartan 7... still could be a better choice than this newer version. The new version focuses on MicroBlaze soft core but I am not interested in soft cores now.


What do you guys think? anyone tried any of these books?

I wish Xilinx guys respond soon so I can install Vivado and test some basic code.

Offline rstofer

  • Super Contributor
  • ***
  • Posts: 9889
  • Country: us
Re: What's currently the best FPGA development board for a beginner?
« Reply #45 on: July 18, 2020, 04:44:34 pm »
When you get down to it, all you need to know is how to code various standard blocks.  A MUX, counter, adder, flops, registers, register sets, dual port BlockRAM, and a Finite State Machine and you pretty much have it under control.  Literally everything derives from the FSM because most digital systems retain some form of state.  Otherwise, they would just be combinatorial logic which you also need to understand.

Then start writing!

Here's a free book that gets discussed from time to time:

http://freerangefactory.org/pdf/df344hdh4h8kjfh3500ft2/free_range_vhdl.pdf

There are other vendors besides Xilinx.  Try to download the Lattice toolchain (IceCube2 and Diamond.  See if you can license ModelSim.  Maybe try Altera/Intel Quartus tools.  See if you get different results.  If you can download Lattice, well, somebody is making a mistake.  Either Xilinx is too restrictive or Lattice is too liberal.  It's really about interpretations.

FreeBSD (BSD Unix) could not be exported from the US because it contained a certain type of encryption.  So, the development of FreeBSD is done in Canada where there is no restriction on export.  Just an example of how goofy these things can get.  There's no issue about importing FreeBSD into the US but it can't be exported.

The only way I learn this stuff is to have a project in mind.  A goal I plan to achieve, perhaps a CPU or some other system.  Just coding blocks of logic, in isolation, just isn't enough to keep my interest.  Fortunately, such a project was in mind before I created my first blinking LED.  I went from LED to  implementing the T80 core (Z80) with CP/M by just hanging a Compact Flash drive on the core to creating a complete retro computer system in just that many steps.  Crawl, walk, run!  Dream up a sufficiently broad project and create it as a learning project.

Don't forget the free tutorials at VHDLwhiz.com and NandLand.com


« Last Edit: July 18, 2020, 04:47:56 pm by rstofer »
 

Offline Renate

  • Super Contributor
  • ***
  • Posts: 1460
  • Country: us
Re: What's currently the best FPGA development board for a beginner?
« Reply #46 on: July 19, 2020, 02:20:02 am »
For a fun 8 color VGA you don't need any active parts.
The PMOD connector has inline 200 ohm resistors, so that won't suit us.
You have to use the regular digital outputs.
I made a little board with a chopped-off VGA cable.
The RGB are 0.7V signals so they need resistor dividers, 160 ohm in series and 130 ohm to ground.
This gives you the right level and the right source impedance.
The two sync signals are TTL, just feed them straight.
You don't need to use the SDA or SCL signals.
The board is inserted by the only ground pin, #25.
(The six resistors are 0805 SMD on the bottom of the board.)
 

Online VEGETA

  • Super Contributor
  • ***
  • Posts: 1946
  • Country: jo
  • I am the cult of personality
    • Thundertronics
Re: What's currently the best FPGA development board for a beginner?
« Reply #47 on: July 19, 2020, 06:45:51 am »
Quote
When you get down to it, all you need to know is how to code various standard blocks.  A MUX, counter, adder, flops, registers, register sets, dual port BlockRAM, and a Finite State Machine and you pretty much have it under control.  Literally everything derives from the FSM because most digital systems retain some form of state.  Otherwise, they would just be combinatorial logic which you also need to understand.

Then start writing!

I will try the free book you mentioned with other books to get as much knowledge as possible.

Quote
There are other vendors besides Xilinx.  Try to download the Lattice toolchain (IceCube2 and Diamond.  See if you can license ModelSim.  Maybe try Altera/Intel Quartus tools.  See if you get different results.  If you can download Lattice, well, somebody is making a mistake.  Either Xilinx is too restrictive or Lattice is too liberal.  It's really about interpretations.

I plan to buy kits next month or so, thus I can wait for Xilinx to wake up. If not, then I go with Lattice using the icestick used with vhdlwhiz course. I found it on Mouser along with many Pmod boards. Total price is about 80$ with free Fedex shipping.

There is the Go board too but the stick seems better choice now... if Xilinx didn't respond.

Quote
The only way I learn this stuff is to have a project in mind.  A goal I plan to achieve, perhaps a CPU or some other system.  Just coding blocks of logic, in isolation, just isn't enough to keep my interest.

I told you above my ultimate goal but it is kinda too far now. Thus, I wanted books or courses to have projects that one can do. Different levels that get bigger by time, so I can test myself as I go in.

Quote
I went from LED to  implementing the T80 core (Z80) with CP/M by just hanging a Compact Flash drive on the core to creating a complete retro computer system in just that many steps

How much time did it take? from being an absolute beginner to making the cpu on an fpga. Did you use the free book to get started then just practiced?



Quote
For a fun 8 color VGA you don't need any active parts.
The PMOD connector has inline 200 ohm resistors, so that won't suit us.
You have to use the regular digital outputs.
I made a little board with a chopped-off VGA cable.
The RGB are 0.7V signals so they need resistor dividers, 160 ohm in series and 130 ohm to ground.
This gives you the right level and the right source impedance.
The two sync signals are TTL, just feed them straight.
You don't need to use the SDA or SCL signals.
The board is inserted by the only ground pin, #25.
(The six resistors are 0805 SMD on the bottom of the board.)

I will get a vga pmod breakout board if I bought Xilinx or Lattice part, so I will be using it.

I think such a project exists for VGA right?

Offline Renate

  • Super Contributor
  • ***
  • Posts: 1460
  • Country: us
Re: What's currently the best FPGA development board for a beginner?
« Reply #48 on: July 19, 2020, 12:53:23 pm »
I will get a vga pmod breakout board if I bought Xilinx or Lattice part, so I will be using it.
Ok, but the Digilent VGA breakout uses two PMOD connectors so you can't use that on a gum stick (CMOD A7).

Hey, I just checked! You could use that PMOD breakout on a single PMOD port.
Except that the way they laid it out you could only have 4 bit green and no red or blue.
Still, that would work for proof of concept or retro.
https://reference.digilentinc.com/reference/pmod/pmodvga/start

I'm currently running color bars on 1280x1024...
« Last Edit: July 19, 2020, 12:56:38 pm by Renate »
 

Online VEGETA

  • Super Contributor
  • ***
  • Posts: 1946
  • Country: jo
  • I am the cult of personality
    • Thundertronics
Re: What's currently the best FPGA development board for a beginner?
« Reply #49 on: July 20, 2020, 07:00:28 am »
I got the reply from Xilinx and they say that my country Jordan belong to D or E countries which are banned for some reason, and they cannot lift the ban on my account. It is really bad to judge people like this for no reason, but nothing can be done.

I did send a reply email though, so maybe it had a 1% chance of success.

So right now, and foreseeable future, I will get Lattice IceStick and follow VHDLWhiz stuff. I will still aim to get into Xilinx in the future especially if I had a product to go into market.

Does Lattice have equivalent of Spartan 7 or Artix 7 chips?


Share me

Digg  Facebook  SlashDot  Delicious  Technorati  Twitter  Google  Yahoo
Smf