Author Topic: EEVblog #636 - FPGA Demo Boards - DE0 Nano  (Read 24586 times)

0 Members and 1 Guest are viewing this topic.

Offline EEVblogTopic starter

  • Administrator
  • *****
  • Posts: 37730
  • Country: au
    • EEVblog
EEVblog #636 - FPGA Demo Boards - DE0 Nano
« on: June 30, 2014, 06:43:12 am »
Dave checks out several FPGA demo boards, and tries out the DE0 Nano and Altera Quartus II software.
http://www.terasic.com.tw/cgi-bin/page/archive.pl?Language=English&No=593

 

Offline Legit-Design

  • Frequent Contributor
  • **
  • Posts: 562
Re: EEVblog #636 - FPGA Demo Boards - DE0 Nano
« Reply #1 on: June 30, 2014, 07:29:05 am »
Many people complained on youtube already... 17:10 got some audio weirdness
Starts at 16:20 or so.

EDIT: It gets really annoying really fast, not your usual production quality  :-//
« Last Edit: June 30, 2014, 07:33:18 am by Legit-Design »
 

Offline Rerouter

  • Super Contributor
  • ***
  • Posts: 4694
  • Country: au
  • Question Everything... Except This Statement
Re: EEVblog #636 - FPGA Demo Boards - DE0 Nano
« Reply #2 on: June 30, 2014, 07:46:17 am »
Yet to watch it, and currently downloading slowly..

 purchased the non nano version of him 2 days ago as it looked like a nicer dev board to me, so i'm hoping i haven't made a mistake :)
 

Offline EEVblogTopic starter

  • Administrator
  • *****
  • Posts: 37730
  • Country: au
    • EEVblog
Re: EEVblog #636 - FPGA Demo Boards - DE0 Nano
« Reply #3 on: June 30, 2014, 08:08:34 am »
EDIT: It gets really annoying really fast, not your usual production quality  :-//

Yes, sorry, something went wrong on the screen capture source files. Can't be fixed in the edit, so it is what it is.
This is very old footage, and I was not about to go and re-record the whole thing.
 

Offline janoc

  • Super Contributor
  • ***
  • Posts: 3785
  • Country: de
Re: EEVblog #636 - FPGA Demo Boards - DE0 Nano
« Reply #4 on: June 30, 2014, 08:59:36 am »
Dave, for the Papillio series of boards (both Papillio One and the Pro), there is this wonderful learning board:

http://papilio.cc/index.php?n=Papilio.LogicStartMegaWing

There is an entire tutorial book for it, I went through it and works pretty good.

It is probably better value than the all-integrated boards like the Nano or BASYS - you can use the cheaper bare Papillio for projects that don't need the learning board's hw.

« Last Edit: June 30, 2014, 09:03:00 am by janoc »
 

Offline nowlan

  • Frequent Contributor
  • **
  • Posts: 649
  • Country: au
Re: EEVblog #636 - FPGA Demo Boards - DE0 Nano
« Reply #5 on: June 30, 2014, 09:35:34 am »
I always called it terr-rasic (like Jurassic park) although i may well be wrong.
The nano is certainly affordable, but a lot of books, uni curses, website support the de-2 boards more.
Something to keep in mind.

I think mike liked the MachXO2 lattice boards, $35. Dunno how good to learn on.
 

Offline EEVblogTopic starter

  • Administrator
  • *****
  • Posts: 37730
  • Country: au
    • EEVblog
Re: EEVblog #636 - FPGA Demo Boards - DE0 Nano
« Reply #6 on: June 30, 2014, 10:29:27 am »
I always called it terr-rasic (like Jurassic park) although i may well be wrong.

I like that.

Quote
The nano is certainly affordable, but a lot of books, uni curses, website support the de-2 boards more.
Something to keep in mind.

This is the one they sent me at the time (this video was shot last Nov, and I got it before that)
 

Offline FrankBuss

  • Supporter
  • ****
  • Posts: 2365
  • Country: de
    • Frank Buss
Re: EEVblog #636 - FPGA Demo Boards - DE0 Nano
« Reply #7 on: June 30, 2014, 01:07:43 pm »
Dave, do you plan to do some projects with the ZedBoard? The Zynq chip is very powerful. I have a Parallella board (costs half of the ZedBoard and has an additional special 16 core parallel chip from Adapteva), with a Zynq 7020. I started to implement a 64 channel / 100 MHz logic analyzer for it. Once you have learned XPS, PlanAhead and all the other Xilinx tools and IPs, it is easy to implement a memory mapped interface on the FPGA for the ARM cores.

This is a first version and all you need to code (besides some connections in the parent entities):

https://github.com/FrankBuss/parallella-hw/blob/sampler/fpga/edk/parallella_7020_hdmi/pcores/sampler_v1_00_a/hdl/vhdl/user_logic.vhd

You can use it from Linux like this:

https://github.com/FrankBuss/parallella-hw/tree/sampler/sampler

Needs still some testing. Writing a testbench for it would be a lot of work, so I just test it on the board itself with some generated signals from the same entity, which is sufficient for a hobby project. Currently I'm using the internal block RAM, because it is easier to use, and the dual port configuration has no problems with concurrent memory access when the ARM cores do other stuff, but the AXI4 bus allows to access the shared 1 GB DDR RAM as well. A 64 channel logic analyzer or signal generator with half a gig of RAM would be nice.
So Long, and Thanks for All the Fish
Electronics, hiking, retro-computing, electronic music etc.: https://www.youtube.com/c/FrankBussProgrammer
 

Offline cloud_constructor

  • Newbie
  • Posts: 4
  • Country: gr
Re: EEVblog #636 - FPGA Demo Boards - DE0 Nano
« Reply #8 on: June 30, 2014, 02:14:51 pm »
ffFFfff... dont know why but im afraid to get involved with FPGAs.... I`ll probably end up in a dark room surrounded  by half finished projects...
 

Offline FrankBuss

  • Supporter
  • ****
  • Posts: 2365
  • Country: de
    • Frank Buss
Re: EEVblog #636 - FPGA Demo Boards - DE0 Nano
« Reply #9 on: June 30, 2014, 02:33:06 pm »
ffFFfff... dont know why but im afraid to get involved with FPGAs.... I`ll probably end up in a dark room surrounded  by half finished projects...
It can be a lot of fun to do FPGA projects. Unlike microcontrollers, you can implement all the hardware modules you need yourself. Once you've learned VHDL or Verilog, it is even easier to implement a simple PWM controller with a few lines of code, than to read and understand all the configurations and special cases of the registers of a modern microcontroller to do the same.

Of course, it doesn't hurt if you already know how logic gates, flipflops etc. work, because you don't write sequential "programs", but the program you write is an abstract description of a schematic, which the tools synthesize to a hardware configuration, and these hardware elements run all in parallel, same as a real digital circuit. Needs some time to get used to it.
So Long, and Thanks for All the Fish
Electronics, hiking, retro-computing, electronic music etc.: https://www.youtube.com/c/FrankBussProgrammer
 

Offline jancumps

  • Supporter
  • ****
  • Posts: 1272
  • Country: be
  • New Low
Re: EEVblog #636 - FPGA Demo Boards - DE0 Nano
« Reply #10 on: June 30, 2014, 09:04:41 pm »
ffFFfff... dont know why but im afraid to get involved with FPGAs.... I`ll probably end up in a dark room surrounded  by half finished projects...

I jumped in a few days ago. By accident, because I wanted to order a Bus Pirate at Seeed.
To avoid  shipping costs I added a Papilio Pro.
Just a lucky coincidense that the EEVBlog FPGA video's went live.

I'm doing ok at the moment. I learned the basic binary manipulations for single ports and bus manipulatiuon. Next is clocks.
I also found code to use the Pro as Logic Sniffer compatible device. So if I fail my training, at least I have an (up to 3.3v) analyser.
 

Offline hamster_nz

  • Super Contributor
  • ***
  • Posts: 2803
  • Country: nz
Re: EEVblog #636 - FPGA Demo Boards - DE0 Nano
« Reply #11 on: June 30, 2014, 09:10:39 pm »
Dave,

Just a word of warning.... the Osc on the Basys2 is utter, utter, utter crap. Hook a scope up to a scope and see how bad it is.

Nearly all LCDs will fail to sync with any VGA signal you generate with it, and on a CRT it looks like crap - you will need to get a decent XTAL it you plan to generate any sort of video.

Dave, if you want one I'll see if I can get Jack @ Gadget Factory to send you a LogicStart for your Papilio One - http://www.gadgetfactory.net/logicstart-megawing/

It will give you switches, buttons, VGA, DAC, 7seg and other goodies, and best thing is that you can unplug all of it when you build your own projects.

Mike
« Last Edit: June 30, 2014, 09:21:26 pm by hamster_nz »
Gaze not into the abyss, lest you become recognized as an abyss domain expert, and they expect you keep gazing into the damn thing.
 

Offline jancumps

  • Supporter
  • ****
  • Posts: 1272
  • Country: be
  • New Low
Re: EEVblog #636 - FPGA Demo Boards - DE0 Nano
« Reply #12 on: June 30, 2014, 09:42:09 pm »
Are you the hamster from the FPGA intro that I'm currently following?
 

Offline hamster_nz

  • Super Contributor
  • ***
  • Posts: 2803
  • Country: nz
Re: EEVblog #636 - FPGA Demo Boards - DE0 Nano
« Reply #13 on: June 30, 2014, 09:51:36 pm »
Are you the hamster from the FPGA intro that I'm currently following?
Maybe....

Code: [Select]
if good_things_to_say = '1' then
   thats_me <= '1';
   run_away_and_hide <= '0';
else
  thats_me <= '0';
   run_away_and_hide <= '1';
end if;
Gaze not into the abyss, lest you become recognized as an abyss domain expert, and they expect you keep gazing into the damn thing.
 

Offline jancumps

  • Supporter
  • ****
  • Posts: 1272
  • Country: be
  • New Low
Re: EEVblog #636 - FPGA Demo Boards - DE0 Nano
« Reply #14 on: June 30, 2014, 09:53:51 pm »
It's '1' :)
 

Offline hamster_nz

  • Super Contributor
  • ***
  • Posts: 2803
  • Country: nz
Re: EEVblog #636 - FPGA Demo Boards - DE0 Nano
« Reply #15 on: June 30, 2014, 10:01:41 pm »
It's '1' :)

Phew - maybe I should make that a constant...
Gaze not into the abyss, lest you become recognized as an abyss domain expert, and they expect you keep gazing into the damn thing.
 

Offline marshallh

  • Supporter
  • ****
  • Posts: 1462
  • Country: us
    • retroactive
Re: EEVblog #636 - FPGA Demo Boards - DE0 Nano
« Reply #16 on: June 30, 2014, 10:11:09 pm »
DE0 nano is better board for fpga noobs. It has a Cyclone IV E on it.

DE0 (older, larger board) has a Cyclone III which as of today is no longer supported by the newest Quartus (14.0). So get 13.1sp1 if you plan to use Cyclone III.

And if you're using the old Cyclone II, 13.0sp1 is the last version for that.
Verilog tips
BGA soldering intro

11:37 <@ktemkin> c4757p: marshall has transcended communications media
11:37 <@ktemkin> He speaks protocols directly.
 

Offline hamster_nz

  • Super Contributor
  • ***
  • Posts: 2803
  • Country: nz
Re: EEVblog #636 - FPGA Demo Boards - DE0 Nano
« Reply #17 on: June 30, 2014, 10:27:55 pm »
DE0 nano is better board for fpga noobs. It has a Cyclone IV E on it.

DE0 (older, larger board) has a Cyclone III which as of today is no longer supported by the newest Quartus (14.0). So get 13.1sp1 if you plan to use Cyclone III.

And if you're using the old Cyclone II, 13.0sp1 is the last version for that.

I had a DE0-nano and didn't like it for tinkering with. My reasons might be your reasons for liking it, so here they are.

* I feel that it is aimed at as a tech demo for the NIOS II processor. That processor is licensed in a model that requires it to be teathered and will only work for an hour unless you spend $

* The switches are too few and too small for tinkering with. Perfect as reset of config switches but that is about it. I don't want to be poking things with biros.

* Nearly anything experimentation you want to do requires you to have a breadboard and jumper wires out - not very easy when working on the sofa as I do

* The USB interface looks like JTAG, where as I prefer serial (RS232) bridges for ease of integration into software

Now it is a great board to integrate into a low cost project (e.g. Mark Atherton's DVB-S project at http://www.idesignz.org/DigiLiteZL/DigiLiteZL.htm), but for a beginner I think something with more onboard I/O allows you to concentrate on the learning without the distraction of wiring up your own hardware. The Basys2 would be the perfect starter board if it wasn't for the crappy XTAL!

You can find my attempts at running uClinux on the DE0-nano at http://hamsterworks.co.nz/mediawiki/index.php/UClinux/Building_for_the_Terasic_DE0-nano_on_Fedora_14
« Last Edit: July 01, 2014, 12:40:18 am by hamster_nz »
Gaze not into the abyss, lest you become recognized as an abyss domain expert, and they expect you keep gazing into the damn thing.
 

Offline FrankBuss

  • Supporter
  • ****
  • Posts: 2365
  • Country: de
    • Frank Buss
Re: EEVblog #636 - FPGA Demo Boards - DE0 Nano
« Reply #18 on: June 30, 2014, 10:52:22 pm »
* I feel that it is aimed at as a tech demo for the NIOS II processor. That processor is licensed in a model that requires it to be teathered and will only work for an hour unless you spend $
Altera changed that some years ago, the "economy" version is free now:
http://www.altera.com/devices/processor/nios2/cores/economy/ni2-economy-core.html
So Long, and Thanks for All the Fish
Electronics, hiking, retro-computing, electronic music etc.: https://www.youtube.com/c/FrankBussProgrammer
 

Offline miguelvp

  • Super Contributor
  • ***
  • Posts: 5550
  • Country: us
Re: EEVblog #636 - FPGA Demo Boards - DE0 Nano
« Reply #19 on: July 01, 2014, 12:52:31 am »
I go for the DE0 Nano more than my other boards. It has a lot of GPIOs and it's spartan enough so you don't get distracted with other things. Also the speed rating is 6 so it's faster than other boards. And the size is just perfect, I also like that I can use old IDE ribbon cables.

You can buy generic accessory boards, use a few jumper cables and have a blast learning. Well at least I do find it fun.
http://www.wvshare.com/column/Accessory_Boards.htm

As for complicated, the DE0-nano has that myfirst fpga sample that should help any uninitiated to start learning in a well organized step by step tutorial. I don't care much for the avalon interface or soft cores I want to learn to drive them myself from the datasheets.

If I wanted an mcu then I'll get the $200 DE1-SoC Board with an embedded dual-core Cortex-A9. The FPGA in it goes for over $200 in Qty 100.

If you want cheap, the BeMicro CV goes for $35 at Verical (found it via octopart). Although not starter friendly since it lacks samples and even their hardware guide doesn't include the MicroSD pins, luckily the schematic and an older version of the guide includes them. It couples with Analog devices dev boards via a $50 adapter (too expensive) also you can hook it to the BeUSB 3.0 that uses the Cypress FX3.0 usb hub chip and verical has it for $72 (normally $99).

As for CPLDs Altera's Max V are really FPGAs in disguise, on their newly announced Max X series they are actually categorizing them as full fledged non-volatile FPGAs.

On top of that Intel's announcement of Xeon with FPGAs built in (probably Alteras since Intel let them use their 14nm trigate tech) makes it more interesting. As a programmer it would give me an edge if they catch on beyond the data centers.
 

Offline ukee1593

  • Contributor
  • Posts: 15
Re: EEVblog #636 - FPGA Demo Boards - DE0 Nano
« Reply #20 on: July 01, 2014, 02:37:38 pm »
One question;

As a beginner to FPGAs (I have some experience with electronics and microcontrollers already), which should I choose; Xilinx or Altera?  Is one better than the other in any meaningful way, or should I just flip a coin and go/stick with whichever one, (yes I understand that it would be foolish to ONLY use Altera over Xilinx because that was the one you learnt with, but there will be a learning curve between the two platforms I'd imagine, in terms of software suite/etc). 

I like the idea of the Zed board with the ARM hardcores with the FPGA on the same chip, and even better that there is a Dave Jones special edition!  I'd also like to point out that Terasic also have a SOC board available in this range; the DE1 SOC http://www.terasic.com.tw/cgi-bin/page/archive.pl?Language=English&CategoryNo=165&No=836&PartNo=1 which has some more standard connectors for the GPIO which might make it easier to start out with (and cheaper than the Zedboard, plus breakout). 

One disadvantage (at least it was a while ago) is that the Altera cyclone V SOCs were difficult to buy (as chips separate from a dev board), so perhaps not very useful if you are needing to manufacture with one of them. 
 

Offline jesuscf

  • Frequent Contributor
  • **
  • Posts: 499
  • Country: ca
Re: EEVblog #636 - FPGA Demo Boards - DE0 Nano
« Reply #21 on: July 01, 2014, 02:46:41 pm »
When I was a complete noob with FPGAs back in the year 2000, I found this book quite useful:

Rapid Prototyping of Digital Systems by James O. Hamblen (Author), Michael D. Furman (Author)

The book got me going with MAX+PLUS II (Altera's FPGA software at the time) and the UP1 board in just a few minutes.  There is a newer version of the book:

Rapid Prototyping of Digital Systems: SOPC Edition by James O. Hamblen (Author), Tyson S. Hall (Author), Michael D. Furman (Author)

Which uses Quartus II with both the DE1 and DE2 boards.
« Last Edit: July 01, 2014, 02:50:56 pm by jesuscf »
Homer: Kids, there's three ways to do things; the right way, the wrong way and the Max Power way!
Bart: Isn't that the wrong way?
Homer: Yeah, but faster!
 

Offline Russ.Dill@gmail.com

  • Contributor
  • Posts: 19
Re: EEVblog #636 - FPGA Demo Boards - DE0 Nano
« Reply #22 on: July 02, 2014, 01:20:52 am »
Don't forget you can also get your feet wet with something like Icarus Verilog and GtkWave, Xilinx's Isim, or others. Really, the majority of an FPGA project always seems to be running your code in a simulator anyway.
 

Offline edpalmer42

  • Super Contributor
  • ***
  • Posts: 2268
  • Country: ca
Re: EEVblog #636 - FPGA Demo Boards - DE0 Nano
« Reply #23 on: July 02, 2014, 02:28:23 am »
What's the general feeling/experience regarding this board?  It has been mentioned a few times on the forum, but nothing significant.

https://www.tindie.com/products/MicroNova/mercury-dip-fpga-board/

I may have an upcoming project to replace an obsolete IC.  This looks attractive due to the form factor and the 5V I/O.  It could be a one-stop solution.  I have zero experience with FPGAs - hell, I can hardly spell it - so I don't want to make a stupid choice right off the bat.

I'm not associated with the vendor.

Ed
 

Offline tja

  • Contributor
  • Posts: 18
Re: EEVblog #636 - FPGA Demo Boards - DE0 Nano
« Reply #24 on: July 04, 2014, 09:17:28 am »
I was pretty disappointed with this blog I am afraid - I know it says it is old footage, but would have been best left on the cutting room floor I think - as it is it just damages the eevblog brand.

The boards shown are too old, and have almost all been superceded, there is no real description or analysis of each board, and (most important to me) there is little comment on the support ecosystem (and here I mean what is provided beyond the likes of Quartus & ISE) for each board - a critical issue in my view. The discussion of Quartus didn't really add too much beyond telling us it has lots of features and is very complex (no shit Sherlock  ;) ).

To be fair, very complex devices like this don't really fit the standard eevblog format, but perhaps there is an opportunity for a slightly different format - a longer term project where you implement something moderately complex (I'd say logic analyser, but that has been done to death) and then provide periodic updates. Ideally, the same project on a number of boards, and then summarise the pros and cons, pitfalls etc. Would make very interesting viewing for those of us interested in FPGA, but I appreciate it would be a significant effort for what is a niche product.

Cheers

Tim
 

Offline Fsck

  • Super Contributor
  • ***
  • Posts: 1157
  • Country: ca
  • sleep deprived
Re: EEVblog #636 - FPGA Demo Boards - DE0 Nano
« Reply #25 on: July 04, 2014, 09:36:04 am »
actually, at their cost, there really isn't much else.

if you want to jump up in cost, the nexys 4 and cyclone 5 gx starter kit are significantly superior options.
"This is a one line proof...if we start sufficiently far to the left."
 

Offline Rigby

  • Super Contributor
  • ***
  • Posts: 1476
  • Country: us
  • Learning, very new at this. Righteous Asshole, too
Re: EEVblog #636 - FPGA Demo Boards - DE0 Nano
« Reply #26 on: July 04, 2014, 09:48:32 am »
I was pretty disappointed with this blog I am afraid - I know it says it is old footage, but would have been best left on the cutting room floor I think - as it is it just damages the eevblog brand.

The boards shown are too old, and have almost all been superceded, there is no real description or analysis of each board, and (most important to me) there is little comment on the support ecosystem (and here I mean what is provided beyond the likes of Quartus & ISE) for each board - a critical issue in my view. The discussion of Quartus didn't really add too much beyond telling us it has lots of features and is very complex (no shit Sherlock  ;) ).

To be fair, very complex devices like this don't really fit the standard eevblog format, but perhaps there is an opportunity for a slightly different format - a longer term project where you implement something moderately complex (I'd say logic analyser, but that has been done to death) and then provide periodic updates. Ideally, the same project on a number of boards, and then summarise the pros and cons, pitfalls etc. Would make very interesting viewing for those of us interested in FPGA, but I appreciate it would be a significant effort for what is a niche product.

Well EEVblog is not really an FPGA brand, so I'm not sure what gets lost.  Dave has said a few times that he will NOT be doing the long-term project like what you describe again.  He did this with a power supply and apparently got hounded by people for updates ALL THE DAMN TIME.  Also he discovered some very serious flaws in some parts he had counted on using, which required him to return to square one after getting all the way to prototyping PCBs and evaluating enclosures.  I can't blame him; I wouldn't do it either.
 

Offline hamster_nz

  • Super Contributor
  • ***
  • Posts: 2803
  • Country: nz
Re: EEVblog #636 - FPGA Demo Boards - DE0 Nano
« Reply #27 on: July 04, 2014, 10:37:35 am »
... perhaps there is an opportunity for a slightly different format - a longer term project where you implement something moderately complex (I'd say logic analyser, but that has been done to death) and then provide periodic updates. Ideally, the same project on a number of boards, and then summarise the pros and cons, pitfalls etc. Would make very interesting viewing for those of us interested in FPGA, but I appreciate it would be a significant effort for what is a niche product.

And it would go...

(queue the Dave voice)
Yeah, this one has got a jack for DC, this one uses USB for power, and this one has lots of pins, this one costs a little less and this one has more switches and LEDs, but no matta what board you pick there will be times when yool be like a kangaroo with an itch, kicking yourself in the head because you didn't spring for the other one.

Now if you are gonna use these to prototype your own designs yool wanna have a FPGA with a footprint that you can actually reliably solder at home. So that that pretty much rules out anything where a dev boad costs over $100, as they all use the BGA packaged parts. Which is a shame really, as all the reeeeally big parts with niiiice features like SDRAM memory controllers and reeealy high speed I/O are the ones in BGA packages.

Yeah, all these boards pretty much the same, can run much the same designs and most designs HDL can be moved between platforms, well, far easier than most of your low-level micro-controller code that is out there, yool just have to replace a few brand X primitives with ones from brand Y and your away like a dingo on roller-skates.

The FPGA software toolsets are a bit like operating systems or text editors - everybody has their favorite, and likes to trash those who use the others. But they pretty much all suck - they all crash, are are huge downloads and are generally really complex. If you learn one then attempt to use a different brand's IDE yool be lost for days, but if you persist by the time you are on you're on the third or fourth brand you'll see that they are all much the same.
(end of Dave's voice)

Or at least that is how I think it would go!
Gaze not into the abyss, lest you become recognized as an abyss domain expert, and they expect you keep gazing into the damn thing.
 

Offline FrankBuss

  • Supporter
  • ****
  • Posts: 2365
  • Country: de
    • Frank Buss
Re: EEVblog #636 - FPGA Demo Boards - DE0 Nano
« Reply #28 on: July 04, 2014, 12:43:00 pm »
And it would go...

(queue the Dave voice)
...
Did you watch the videos? I think he actucally said a few things like this in one of the last two FPGA videos :)

And I think it was a good overview video. You can't go into details unless you want to make a ten hour long video. For me most of it would be boring, because I know already how to use FPGAs, but there are always something you can learn, for example I never used the power estimator in Quartus, didn't even know it existed. And I would learn something if Dave would describe the analog side of FPGAs more, or how to place the decoupling capacitors and route high speed traces on your own boards, or how a hobbieist can route and produce a board with a BGA, or recommendations for cheap assembly houses. So a project with a FPGA from start to a product would be cool. But it's up to Dave what he wants to do, I like the teardowns and mailbag videos as well.
So Long, and Thanks for All the Fish
Electronics, hiking, retro-computing, electronic music etc.: https://www.youtube.com/c/FrankBussProgrammer
 


Offline miguelvp

  • Super Contributor
  • ***
  • Posts: 5550
  • Country: us
Re: EEVblog #636 - FPGA Demo Boards - DE0 Nano
« Reply #30 on: July 04, 2014, 05:43:51 pm »
Incidentally, there is a 'review' (mostly a listing) of cheap FPGA development boards here: http://edn.com/electronics-products/electronic-product-reviews/fpga-boards-under--100--hands-on-reviews-collection/4431752/FPGA-boards-under--100--Introduction?_mc=NL_EDN_EDT_EDN_weekly_20140703&cid=NL_EDN_EDT_EDN_weekly_20140703&elq=ffd8f46856fc469ba637c6eca8ae63de&elqCampaignId=17864
Thanks for the link, too bad they didn't include the BeMicro and BeMicro CV for $50 (actually cheaper on some suppliers if you use octopart to find them)
At least to give more Altera presence on the boards since they only list the Nano.
 

Offline Isaac000

  • Contributor
  • Posts: 17
Re: EEVblog #636 - FPGA Demo Boards - DE0 Nano
« Reply #31 on: July 04, 2014, 09:59:24 pm »
One question;

As a beginner to FPGAs (I have some experience with electronics and microcontrollers already), which should I choose; Xilinx or Altera?  ...

Chevy or Ford? Ferrari or Lamborghini? Flip a coin if you're just starting or pick based on which one get get you the least expensive dev kit to play with.

My experience:
Whether you are Altera or Xilinx depends on the local rep. In the areas where the Xilinx rep is great, most people do Xilinx and vice-versa where Altera was really good.

I started with both (as luck would have it we ran 2 projects, one with Xilinx and one with Altera). The silicon is fairly comparable, but depends on any specifics of your application. Xilinx software, ISE, was pretty good up until about version 7, after that it was super buggy and crappy and everybody hated it (wasn't just us, our local rep said that was their number one complaint). I think they clued in around version 13 or so and things may have gotten better since then. Altera Quartus has been fairly consistent and just from that initial experience, I still prefer Altera to this day.
 

Offline jancumps

  • Supporter
  • ****
  • Posts: 1272
  • Country: be
  • New Low
Re: EEVblog #636 - FPGA Demo Boards - DE0 Nano
« Reply #32 on: July 04, 2014, 10:23:44 pm »
For self-training purpose, I didn't base my choice on FPGA manufacturer.
I based my choice on budget,  what demo board and community I liked most, and where I could find good tutorials.
Papilio + hamster's tutorial, and my old wish to own a logic sniffer, made me go for Xilinx (Papilio can be turned into a sniffer).
My other choice would be one of the xess.com boards, because I like David's drive and style. Xess is doing Xilinx too.
I couldn't choose, so it was kind of a coin flip :)

I didn't find it too difficult to switch between µC families. I guess changing FPGA type is not that hard eather once you know the subject.
 

Offline Dave Turner

  • Frequent Contributor
  • **
  • Posts: 447
  • Country: gb
Re: EEVblog #636 - FPGA Demo Boards - DE0 Nano
« Reply #33 on: July 05, 2014, 07:11:37 pm »
In the past with microprocessors I found that once the basics of one was crammed into my head switching to another became almost trivial provided I had a good manual. Then, for me, all coding was on paper manually converting from assembly to hex.

FPGAs are more complex so in addition to the technical manual It is the availability of good tutorials which will determine my choice of evaluation board.

 

Offline nvr0hk

  • Contributor
  • Posts: 15
Re: EEVblog #636 - FPGA Demo Boards - DE0 Nano
« Reply #34 on: July 11, 2014, 12:18:52 pm »
Incidentally, there is a 'review' (mostly a listing) of cheap FPGA development boards here:
Thanks for the link, too bad they didn't include the BeMicro and BeMicro CV for $50 (actually cheaper on some suppliers if you use octopart to find them)
At least to give more Altera presence on the boards since they only list the Nano.
Thank for the EDN's FPGA boards under $100: Introduction, and in comments, through Joel WILLIAMS's CheapFPGA Development Boards, I found this kickstarter project miniSpartan6+, I pledged $99 for it, but I don't know if it is really a beginner's platform even with their Scarad IDE because in the end you need to download the heavy Xilinx's  free tools and running Linux under an X86 platform...
Their last stretch goal for upgrading to an Spartan 6 LX9 with an FBGA256 (not reached at now) will push hard their power supply design, IMHO! :o
 

Offline jancumps

  • Supporter
  • ****
  • Posts: 1272
  • Country: be
  • New Low
Re: EEVblog #636 - FPGA Demo Boards - DE0 Nano
« Reply #35 on: July 11, 2014, 02:23:16 pm »
... I found this kickstarter project miniSpartan6+, I pledged $99 for it, but I don't know if it is really a beginner's platform even with their Scarad IDE because in the end you need to download the heavy Xilinx's  free tools and running Linux under an X86 platform...
Their last stretch goal for upgrading to an Spartan 6 LX9 with an FBGA256 (not reached at now) will push hard their power supply design, IMHO! :o

I missed the Linux under X86 requirement. Where is that stated?
They just announced that they are going to deliver the stretch goal even if not reached.
 

Offline nvr0hk

  • Contributor
  • Posts: 15
Re: EEVblog #636 - FPGA Demo Boards - DE0 Nano
« Reply #36 on: July 11, 2014, 05:17:54 pm »
I missed the Linux under X86 requirement. Where is that stated?
In Vivado Design Tools the 2014.2 - Release Notes at the beginning of page 9, they stated in paragraph Operating Systems this: "Xilinx only supports the following operating systems on x86 and x86-64 processor architectures.". I don't see one ARM platform supported, or I missed something somewhere? :-//

Quote from: jancumps
They just announced that they are going to deliver the stretch goal even if not reached.
yes, they even offer an upgrade for Spartan LX25 if you add +30$ :P, but, is it supported by the Free ISE WebPACK Tool?
 

Offline miguelvp

  • Super Contributor
  • ***
  • Posts: 5550
  • Country: us
Re: EEVblog #636 - FPGA Demo Boards - DE0 Nano
« Reply #37 on: July 11, 2014, 05:25:28 pm »
I will doubt Xilinx or Altera supported arm processors for their toolchain. The most I've seen is the Cypress PSoC that can use Android to upload the configuration.
 

Offline jeremy

  • Super Contributor
  • ***
  • Posts: 1079
  • Country: au
Re: EEVblog #636 - FPGA Demo Boards - DE0 Nano
« Reply #38 on: July 11, 2014, 06:59:21 pm »
I will doubt Xilinx or Altera supported arm processors for their toolchain. The most I've seen is the Cypress PSoC that can use Android to upload the configuration.

You can do this via linux on the zynq as well.

Allow me to pose a slightly different question to those in the know: given that most of the work is done in simulation, are there simulators out there that are better than using the vendor toolchains? Also, are there any that would be viable for a hobbyist in terms of cost?
 

Offline bwat

  • Frequent Contributor
  • **
  • Posts: 278
  • Country: se
    • My website
Re: EEVblog #636 - FPGA Demo Boards - DE0 Nano
« Reply #39 on: July 11, 2014, 07:47:13 pm »
I will doubt Xilinx or Altera supported arm processors for their toolchain. The most I've seen is the Cypress PSoC that can use Android to upload the configuration.

You can do this via linux on the zynq as well.

Allow me to pose a slightly different question to those in the know: given that most of the work is done in simulation, are there simulators out there that are better than using the vendor toolchains? Also, are there any that would be viable for a hobbyist in terms of cost?
I use iverilog and gtkwave for simulation which cost me nothing. I only use Quartus II, which was also free, when I'm at the end of the project and the FPGA is about to be programmed. If you're on a *nix machine try the following:
Code: [Select]
iverilog -o d_latch d_latch.v && vvp d_latch && gtkwave d_latch.vcd
 
Here's the d_latch.v file:
Code: [Select]
module d_latch(Q, Qn, G, D);
   output Q;
   output Qn;
   input  G;   
   input  D;

   wire   Dn;
   wire   D1;
   wire   Dn1;

   not(Dn, D);   
   and(D1, G, D);
   and(Dn1, G, Dn);   
   nor(Qn, D1, Q);
   nor(Q, Dn1, Qn);
endmodule // d_latch
   
module d_latch_tb;
   reg D;   
   reg G;
   wire Q;
   wire Qn;
     
   d_latch dut(Q, Qn, G, D);

   initial begin
      $dumpfile("d_latch.vcd");
      $dumpvars(0,dut);
      G <= 0;
      D <= 0;
      #1 D <= 1;     
      #1 G <= 1;     
      #1 D <= 0;     
      #1 D <= 1;     
      #1 $finish;     
   end

endmodule // d_latch_tb

You'll get output like that found at the bottom of this webpage http://www.barrywatson.se/dd/dd_d_latch.html.
 A more involved simulation waveform example here:http://www.barrywatson.se/dd/dd_datapath.html. I use this sort of setup to run through tests for CPU designs with no problems. I have it all in a makefile so I just type "make" and all the tests are compiled and the simulations are run and the outputs are checked with C programs which the makefile also builds.
"Who said that you should improve programming skills only at the workplace? Is the workplace even suitable for cultural improvement of any kind?" - Christophe Thibaut

"People who are really serious about software should make their own hardware." - Alan Kay
 

Offline miguelvp

  • Super Contributor
  • ***
  • Posts: 5550
  • Country: us
Re: EEVblog #636 - FPGA Demo Boards - DE0 Nano
« Reply #40 on: August 16, 2014, 10:22:18 pm »
Just an FYI,

I don't know when it happened but Terasic updated their documentation and samples to include QSys examples and use of SDRAM with the NIOS II. The user manual has been updated to version 1.9

Seems that their webpage is experiencing problems and you have to sign in to get the CD content, no sign in necessary for downloading the manual. But the CD has 2 extra and updated manuals for My_First_Fpga_v1.0 and My_First_NiosII_Qsys under the Usermanual directory.

Link for the DE0-Nano resources:
http://www.terasic.com.tw/cgi-bin/page/archive.pl?Language=English&CategoryNo=139&No=593&PartNo=4

Direct link to the downloads (supposedly you need to be signed in on terasic.com.tw first but might work without signing)
http://www.terasic.com/downloads/cd-rom/de0-nano/


The latest CD Rom is version 1.2.0. I think mine came with V.1.0.9 or maybe 1.1.0 but the newer CD is more than twice as big (74 MB compared to 30 MB)
 


Share me

Digg  Facebook  SlashDot  Delicious  Technorati  Twitter  Google  Yahoo
Smf