Author Topic: Altera FPGA and Quartus II  (Read 9093 times)

0 Members and 1 Guest are viewing this topic.

Offline nForceTopic starter

  • Frequent Contributor
  • **
  • Posts: 393
  • Country: ee
Altera FPGA and Quartus II
« on: June 01, 2016, 07:47:01 pm »
Hello,

I am going to start learn Verilog or VHDL, so I will buy an Altera board for learning because they are cheap.

Does someone have any experience with these boards from Altera, or is it Xilinx better?

Software is Quartus II for programming. But there are 3 different licences for a program. Why are they three of them? Can I make the same program on all of three? Libraries?

Thanks for help.
 

Offline Ice-Tea

  • Super Contributor
  • ***
  • Posts: 3063
  • Country: be
    • Freelance Hardware Engineer
Re: Altera FPGA and Quartus II
« Reply #1 on: June 01, 2016, 07:54:40 pm »
There's a free version, the others are paying. The free version is limited as far as supported devices and a few whistles and bells. But any VHDL project in one version will run in the other except for IP that might be included in some higher up versions? That I don't know...
 
The following users thanked this post: nForce

Offline AndyC_772

  • Super Contributor
  • ***
  • Posts: 4208
  • Country: gb
  • Professional design engineer
    • Cawte Engineering | Reliable Electronics
Re: Altera FPGA and Quartus II
« Reply #2 on: June 01, 2016, 07:58:57 pm »
I use Altera and Quartus, though always on my own hardware, not a pre-made dev board.

The free version of Quartus supports all the current, relatively inexpensive chips. If you want to use a higher-end part then you'll need a paid version, and if you want to use an older part then you may need to download a previous version that still supports it.

Code written on the free version should compile on a paid version - though I've never personally needed to pay for Quartus.
 
The following users thanked this post: nForce

Offline Kjelt

  • Super Contributor
  • ***
  • Posts: 6459
  • Country: nl
Re: Altera FPGA and Quartus II
« Reply #3 on: June 01, 2016, 08:09:21 pm »
I never understood the businessmodel, why should a company that earns money on selling hardware also ask money for the software, you would expect that if the software was free more companies/people would choose the hardware. Oh well.
 
The following users thanked this post: dizgah

Offline AndyC_772

  • Super Contributor
  • ***
  • Posts: 4208
  • Country: gb
  • Professional design engineer
    • Cawte Engineering | Reliable Electronics
Re: Altera FPGA and Quartus II
« Reply #4 on: June 01, 2016, 08:12:54 pm »
Tell Microchip that too while you're at it :palm:  |O  :--

Offline Scrts

  • Frequent Contributor
  • **
  • Posts: 797
  • Country: lt
Re: Altera FPGA and Quartus II
« Reply #5 on: June 01, 2016, 09:32:00 pm »
I never understood the businessmodel, why should a company that earns money on selling hardware also ask money for the software, you would expect that if the software was free more companies/people would choose the hardware. Oh well.

Altera can provide all the software with all their IP licenses (including Nios) for free if you'd buy a large number (at least 10k) FPGAs per year.
 

Offline 6thimage

  • Regular Contributor
  • *
  • Posts: 181
  • Country: gb
Re: Altera FPGA and Quartus II
« Reply #6 on: June 01, 2016, 09:38:11 pm »
I never understood the businessmodel, why should a company that earns money on selling hardware also ask money for the software, you would expect that if the software was free more companies/people would choose the hardware. Oh well.

Because all of the main FPGA manufacturers do - if your competitors sell something, why would you give it away for free? A lot of the big companies that use FPGAs will use extra tools that they pay a lot for (like synopsys), so spending a few thousand extra to unlock the use of the higher end devices is almost like small change to them (especially considering the price of some of the high end devices).


To the actual question:
Altera and Xilinx are the two biggest manufacturers, the differences between the FPGAs aren't too big for the vast majority of projects, for a beginner it doesn't really matter. The tools vary, I have used Quartus fleetingly and didn't like it. I am currently using a Xilinx FPGA (spartan 6) but ISE is worse than Quartus (I use a host of custom shell scripts to handle synthesis and implementation so I never have to open ISE). If you go with a Xilinx board, make sure it is at least a series 7 FPGA (artix, kintex or zynq) that way you can use vivado which is a lot nicer and better, but the cheapest 7 series board at the moment is the arty at $99, which is more than you will probably want to spend.

The paid for licenses, in addition to supporting more devices, tend to add extra features which you are unlikely to use to begin with. Additional IPs (the FPGA version of libraries) are often bought independently.
 

Offline rstofer

  • Super Contributor
  • ***
  • Posts: 9886
  • Country: us
Re: Altera FPGA and Quartus II
« Reply #7 on: June 02, 2016, 02:44:09 am »
I never understood the businessmodel, why should a company that earns money on selling hardware also ask money for the software, you would expect that if the software was free more companies/people would choose the hardware. Oh well.

Maybe it's because Xilinx paid $200 MILLION to create Vivado as a replacement to how ever much money they had in ISE.  There's also more support for customers who have purchased the paid version and there is also access to Xilinx owned IP beyond what you might get with the WebPack versions.

But they are good enough to give away a WebPack version (or even the Design version of Vivado) which allows the hobbyists and students to use their devices.  They're not interested in hobbyists, of course, but they are vitally interested in students.  What students learn in school they bring to their employers later on.

I installed Quartus several years ago and then read the license.  I had just agreed that they could cancel my license at any time in the future, leaving me hanging for any projects I might have designed.  Sure, I could ignore the notice...  The thing is, it reminded my of UCSD cancelling all licenses to UCSD Pascal when the school decided they weren't in the software business and sold the rights.  A lot of business applications suddenly became non-compliant.  So, no more Atmel...  I understand they have revised the license but I haven't looked.  Nor will I...

In terms of Xilinx, you will ultimately install both WebPack ISE 14.7 to support legacy chips and Vivado for the newer stuff.  Xilinx did not make Vivado support legacy devices.

But it's still free even though limited in comparison to the paid versions.  But it's still free...
 

Offline bingo600

  • Super Contributor
  • ***
  • Posts: 1977
  • Country: dk
Re: Altera FPGA and Quartus II
« Reply #8 on: June 02, 2016, 06:01:59 pm »
I have tried both (newbie)  , and think Quartus is easier to use for HDL (VHDL) , but ISE is imho. easier to write testbench for.
The RTL viewer in Quartus is nice.

I prefer Altera due to the cheap Cyclone II FPGA test boards ($12..15) , and EPM240-cpld boards 6$ ,
the usbblaster clone is also cheaper. Get from Ali or *bay

So it's the HW that decides for me.

/Bingo
 
The following users thanked this post: nForce

Offline nForceTopic starter

  • Frequent Contributor
  • **
  • Posts: 393
  • Country: ee
Re: Altera FPGA and Quartus II
« Reply #9 on: June 03, 2016, 03:11:52 pm »
I have tried both (newbie)  , and think Quartus is easier to use for HDL (VHDL) , but ISE is imho. easier to write testbench for.
The RTL viewer in Quartus is nice.

I prefer Altera due to the cheap Cyclone II FPGA test boards ($12..15) , and EPM240-cpld boards 6$ ,
the usbblaster clone is also cheaper. Get from Ali or *bay

So it's the HW that decides for me.

/Bingo

If I understand it correctly, I can program in VHDL or Verilog on CPLD and FPGA boards?

Where are these boards useful? I know I can make my own CPU with these boards, but what about industry, controlling electric motors, reading from sensors or are they just used for learning.

 

Offline bingo600

  • Super Contributor
  • ***
  • Posts: 1977
  • Country: dk
Re: Altera FPGA and Quartus II
« Reply #10 on: June 03, 2016, 05:36:11 pm »

If I understand it correctly, I can program in VHDL or Verilog on CPLD and FPGA boards?

Yes

Where are these boards useful? I know I can make my own CPU with these boards, but what about industry, controlling electric motors, reading from sensors or are they just used for learning.

I use them for Logic chip substitution , see a CPLD as a blank bunch of 74hcxx gates that you can wire together wo. a soldering iron.

/Bingo
 

Offline bdohler

  • Newbie
  • Posts: 7
  • Country: ca
Re: Altera FPGA and Quartus II
« Reply #11 on: June 03, 2016, 08:50:24 pm »

Where are these boards useful? I know I can make my own CPU with these boards, but what about industry, controlling electric motors, reading from sensors or are they just used for learning.


Configuration man. Massively flexible architectures that allow for independent hardware and "software" development. Parallelized processing for video applications. Improve on time to market. Verilog and VHDL are very employable skills.

 

Offline joeqsmith

  • Super Contributor
  • ***
  • Posts: 11632
  • Country: us
Re: Altera FPGA and Quartus II
« Reply #12 on: June 04, 2016, 12:51:54 am »
If you go with a Xilinx board, make sure it is at least a series 7 FPGA (artix, kintex or zynq) that way you can use vivado which is a lot nicer and better, but the cheapest 7 series board at the moment is the arty at $99, which is more than you will probably want to spend.

I was actually thinking to buy the arty to play around with the Vivado free tools at home.   

Offline ebclr

  • Super Contributor
  • ***
  • Posts: 2328
  • Country: 00
Re: Altera FPGA and Quartus II
« Reply #13 on: June 04, 2016, 01:48:09 am »
Both are nice products.

If you are learning diligent boards was made for schools .

check teehyr web site
 

Offline cfbsoftware

  • Regular Contributor
  • *
  • Posts: 112
  • Country: au
    • Astrobe: Oberon IDE for Cortex-M and FPGA Development
Re: Altera FPGA and Quartus II
« Reply #14 on: June 13, 2016, 12:58:36 am »
I was actually thinking to buy the arty to play around with the Vivado free tools at home.
I can recommend the Arty board - I'm not a hardware designer but it looks to me to be nicely designed and reliably constructed. I have about half-a-dozen Xilinx-based boards to experiment with. Although the Arty and Pepino were the least expensive they are the ones I use the most.
Chris Burrows
CFB Software
https://www.astrobe.com
 

Offline joeqsmith

  • Super Contributor
  • ***
  • Posts: 11632
  • Country: us
Re: Altera FPGA and Quartus II
« Reply #15 on: June 13, 2016, 11:36:53 am »
I did get the Arty.   I was expecting it to use the webpack version but instead it came with a code to get a special version for it.   I have downloaded the files but have not installed them yet.  When Vivado first came out I played around with it a bit just running builds and simulations.   Looked alright for a starting point.

My old XP 32-bit machine may need to be replaced... :-DD

Offline hamster_nz

  • Super Contributor
  • ***
  • Posts: 2803
  • Country: nz
Re: Altera FPGA and Quartus II
« Reply #16 on: June 13, 2016, 07:34:33 pm »
I did get the Arty.   I was expecting it to use the webpack version but instead it came with a code to get a special version for it.   I have downloaded the files but have not installed them yet.  When Vivado first came out I played around with it a bit just running builds and simulations.   Looked alright for a starting point.

My old XP 32-bit machine may need to be replaced... :-DD

When you do register it, if you are on Linux it will be licensed to the MAC address of eth0. This post might be of interest, allowing you to migrate to newer hardware in the future.

https://www.question-defense.com/2012/11/26/linux-create-fake-ethernet-interface
Gaze not into the abyss, lest you become recognized as an abyss domain expert, and they expect you keep gazing into the damn thing.
 

Offline Scrts

  • Frequent Contributor
  • **
  • Posts: 797
  • Country: lt
Re: Altera FPGA and Quartus II
« Reply #17 on: June 13, 2016, 07:40:58 pm »
I did get the Arty.   I was expecting it to use the webpack version but instead it came with a code to get a special version for it.   I have downloaded the files but have not installed them yet.  When Vivado first came out I played around with it a bit just running builds and simulations.   Looked alright for a starting point.

My old XP 32-bit machine may need to be replaced... :-DD

When you do register it, if you are on Linux it will be licensed to the MAC address of eth0. This post might be of interest, allowing you to migrate to newer hardware in the future.

https://www.question-defense.com/2012/11/26/linux-create-fake-ethernet-interface

Alternatives for windows:
a) Create virtual machine
b) Create virtual Ethernet adapter (bot not sure how to change the MAC)
 

Offline joeqsmith

  • Super Contributor
  • ***
  • Posts: 11632
  • Country: us
Re: Altera FPGA and Quartus II
« Reply #18 on: June 13, 2016, 10:28:23 pm »
I plan to run it under Windows.   Spoofing the MAC should not be a problem.  Looks like lots to dig into.  It will be interesting to see how the simulator has evolved.   Keeps getting better every time I look at it. 

Offline damn_dirty_ape

  • Contributor
  • Posts: 31
  • Country: us
Re: Altera FPGA and Quartus II
« Reply #19 on: June 14, 2016, 02:30:33 am »
In my opinion, Xilinx offers a more polished development environment.  Altera, on the other hand, is likely to do some very cool stuff in the future since getting bought out by Intel.  I'm looking forward to having an FPGA in my PC.  Anyways, I have both a DE0-nano which I got for school and is easy to learn on, but if you can afford it I'd get one of the more expensive chips that have ARM cores included in the silicon.  My Xilinx board is a Cmod S6 which I got because it will plug right into a breadboard which I thought would be more convenient than it really is.  Either brand is fine and they each have good reputations.  I'd also point out numato.com which has some inexpensive options (I think for both Altera and Xilinx)
 

Offline hamster_nz

  • Super Contributor
  • ***
  • Posts: 2803
  • Country: nz
Re: Altera FPGA and Quartus II
« Reply #20 on: June 14, 2016, 03:08:08 am »
I plan to run it under Windows.   Spoofing the MAC should not be a problem.  Looks like lots to dig into.  It will be interesting to see how the simulator has evolved.   Keeps getting better every time I look at it.

FWIW, Licenses are also bound to Disk Serial Number / Volume Serial Number on Windows, making it more difficult to move to a new machine....
Gaze not into the abyss, lest you become recognized as an abyss domain expert, and they expect you keep gazing into the damn thing.
 

Offline joeqsmith

  • Super Contributor
  • ***
  • Posts: 11632
  • Country: us
Re: Altera FPGA and Quartus II
« Reply #21 on: June 14, 2016, 04:33:11 am »
I plan to run it under Windows.   Spoofing the MAC should not be a problem.  Looks like lots to dig into.  It will be interesting to see how the simulator has evolved.   Keeps getting better every time I look at it.

FWIW, Licenses are also bound to Disk Serial Number / Volume Serial Number on Windows, making it more difficult to move to a new machine....

Interesting.  I thought the serial numbering was still the same as it has been.  It's never been a problem to change it.   Maybe under Windows 10 this changed?   If you run it as a virtual as mentioned, no problem anyway as just image it and your done.   Even when Globetrotter was running the dongles, it really was not much of a problem.   

Offline ebclr

  • Super Contributor
  • ***
  • Posts: 2328
  • Country: 00
MAX10
« Reply #22 on: June 14, 2016, 05:09:28 am »
 

Offline fki82

  • Contributor
  • Posts: 34
  • Country: de
Re: Altera FPGA and Quartus II
« Reply #23 on: June 14, 2016, 06:22:47 pm »
If you plan to get an Altera one try to get one from the latest family (CycloneV or MAX 10, Arria and Stratix are probably too expensive).
Stay away from this cheap Cyclone II boards on ebay.
If you are using an older FPGA family, you need to use an older Quartus version.
Fortunately it is absolute no problem to have several versions installed in parallel.
For Cyclone II devices I think you need to use QuartusII 10. x.
For Cyclone III devices I think it is QuartusII 13.x.
Cyclone IV and V should work with the latest Quartuns Prime 16.
 

Offline 1N4148

  • Newbie
  • Posts: 5
  • Country: de
Re: Altera FPGA and Quartus II
« Reply #24 on: June 14, 2016, 07:14:16 pm »
Cyclone II devices are support up to 13.0sp1, but the last stable for this devices is 11.1sp2 if you want to use the Qsys builder. Imho those cheap Cyclone II or IV boards from ebay are good for beginning. But keep the price in mind, on ali you'll get a EP4CE10 board for about $20.
 

Offline ebclr

  • Super Contributor
  • ***
  • Posts: 2328
  • Country: 00
Re: Altera FPGA and Quartus II
« Reply #25 on: June 15, 2016, 03:46:52 am »
Now days  you can easily find cheap Cyclone IV
 

Offline nForceTopic starter

  • Frequent Contributor
  • **
  • Posts: 393
  • Country: ee
Re: Altera FPGA and Quartus II
« Reply #26 on: June 15, 2016, 07:01:03 pm »
These IV boards have a micro USB input. Do you program them by an USB cable? They don't need a USB blaster?
 

Offline 1N4148

  • Newbie
  • Posts: 5
  • Country: de
Re: Altera FPGA and Quartus II
« Reply #27 on: June 15, 2016, 07:42:59 pm »
On most boards the USB connector is for power supply only. On some board it's connected to a FT232 converter, but on neither you can program by USB. You need a USB Blaster, but the USB Blaster clone is very cheap.
 

Offline ebclr

  • Super Contributor
  • ***
  • Posts: 2328
  • Country: 00
Re: Altera FPGA and Quartus II
« Reply #28 on: June 16, 2016, 03:26:16 am »
 

Offline Ice-Tea

  • Super Contributor
  • ***
  • Posts: 3063
  • Country: be
    • Freelance Hardware Engineer
Re: Altera FPGA and Quartus II
« Reply #29 on: June 16, 2016, 11:44:16 am »
On most boards the USB connector is for power supply only. On some board it's connected to a FT232 converter, but on neither you can program by USB. You need a USB Blaster, but the USB Blaster clone is very cheap.

That's quite simply not correct.

Offline 1N4148

  • Newbie
  • Posts: 5
  • Country: de
Re: Altera FPGA and Quartus II
« Reply #30 on: June 17, 2016, 07:55:42 am »
What's not correct? I don't know a cheap Altera board which can programmed by USB.

But if you do, it would be nice to share this information with us.
 


Offline 1N4148

  • Newbie
  • Posts: 5
  • Country: de
Re: Altera FPGA and Quartus II
« Reply #32 on: June 18, 2016, 09:47:30 am »
We were talking about *cheap* Cyclone IV boards. None of the Cyclone IV boards in your list is cheap regardless of having an onboard programmer or not. So my statement was correct.
 


Offline Ice-Tea

  • Super Contributor
  • ***
  • Posts: 3063
  • Country: be
    • Freelance Hardware Engineer
Re: Altera FPGA and Quartus II
« Reply #34 on: June 19, 2016, 12:47:39 pm »
We were talking about *cheap* Cyclone IV boards. None of the Cyclone IV boards in your list is cheap regardless of having an onboard programmer or not. So my statement was correct.

Perhaps *you* were talking *only* about cheap boards. I wasn't. As far as I can see, others weren't either. And 40$ doesn't really qualify as expensive.

Offline pkplex

  • Contributor
  • Posts: 22
Re: Altera FPGA and Quartus II
« Reply #35 on: June 20, 2016, 03:27:42 am »
I picked up a second hand DE0 which is a cyclone 3 variant. My first FPGA.

I have to say, they are a lot of fun to play with. Currently learning both VHDL and Verilog, making clocks, making VGA do the business, next step is to try and make a semi modular gui and start displaying sensor outputs... it is epic fun.

It has all the blinky leds and a few buttons on it, an LCD and 4 seven segment displays, vga out, PS2 in, heaps of switches.. good times :)

Oh, and USB Blaster built in. It was $180 NZ.

« Last Edit: June 20, 2016, 03:29:30 am by pkplex »
 


Share me

Digg  Facebook  SlashDot  Delicious  Technorati  Twitter  Google  Yahoo
Smf