EEVblog Electronics Community Forum

Electronics => FPGA => Topic started by: mikeselectricstuff on September 26, 2014, 02:54:06 pm

Title: Altera Max10 FPGAs
Post by: mikeselectricstuff on September 26, 2014, 02:54:06 pm
Just found some leaked info on the parallax forums
http://forums.parallax.com/showthread.php/157556-Altera-MAX-10-early-info?p=1295164 (http://forums.parallax.com/showthread.php/157556-Altera-MAX-10-early-info?p=1295164)

Onboard flash, and some (unspecified) analogue functionality

Good that the whole range is available in QFP, but only one option and 0.4mm pitch. 

Are we ever going to see decent FPGAs in mid-pinout QFP/QFNs so they can be used on 2 layer PCBs?  :palm:

Title: Re: Altera Max10 FPGAs
Post by: mrflibble on September 26, 2014, 06:36:16 pm
Oh, nice find!

Lets just attach it here as well, in case it goes *poof* on the parallax forum.

Good that the whole range is available in QFP, but only one option and 0.4mm pitch. 

Are we ever going to see decent FPGAs in mid-pinout QFP/QFNs so they can be used on 2 layer PCBs?  :palm:
I suspect that that 144-pin QFP is going to be the closest you're going to get from Altera. But you could probably do this thing on 2 layers as well, maybe sacrifice some IO if required.

At any rate, this looks interesting. Lets hope that $30 eval board they mention is going to have good availability.
Title: Re: Altera Max10 FPGAs
Post by: marshallh on September 26, 2014, 11:29:26 pm
I have access to the full datasheet you can ask questions and I'll try to answer them. There are up to 2 ADCs (12bit sar, 1MSPS) with about 14 muxed analog inputs. You can change muxing at runtime to probe signals in succession.

It's basically a Cyclone IV but more focused, and with more onboard toys.

My best guess is we're about 1.5 years off from general availability. Altera takes their time pushing out new silicon.
And the smallest package is 144 eqfp, not likely to have any lower pin count. They are also in very small chipscale and QFN.
I wouldn't hold my breath on competitive pricing, not for a few years. The lattice XO2s are going to continue to be the cheapest thing around.
Title: Re: Altera Max10 FPGAs
Post by: marshallh on September 30, 2014, 05:06:19 pm
Quote
San Jose, Calif., September 30, 2014 – Altera Corporation (Nasdaq: ALTR) today announced the availability of non-volatile MAX® 10 FPGAs, Altera’s latest addition to its Generation 10 portfolio. Using TSMC’s 55 nm embedded flash process technology, MAX 10 FPGAs revolutionize non-volatile FPGAs by delivering dual-configuration flash, analog and embedded processing capabilities in a small-form-factor, low-cost, instant-on programmable logic device. MAX 10 FPGAs are shipping today and are supported by a broad collection of design solutions that accelerate system development, including Quartus® II software, evaluation kits, design examples, design services through the Altera Design Services Network (DSN), documentation and training. More information can be found at www.altera.com/max10_pr (http://www.altera.com/max10_pr).


8K LE silicon is now available in low quantities. (I think it is leftover ES silicon from the partner program in August)
Pricewise it is similar to the Cyclone series.
Eval kit:
(http://www.mouser.com/images/altera/lrg/MAX10_Eval_Straight_retouched.jpg)
http://www.mouser.com/ProductDetail/Altera/EK-10M08E144ES-P/?qs=%2fha2pyFadug5SGINdZNV3MsMlTgI0l7zEuXLhyljE15EaIvj3kP77Q%3d%3d (http://www.mouser.com/ProductDetail/Altera/EK-10M08E144ES-P/?qs=%2fha2pyFadug5SGINdZNV3MsMlTgI0l7zEuXLhyljE15EaIvj3kP77Q%3d%3d)

Other eval kits are forthcoming.

Full literature:
http://www.altera.com/literature/lit-max-10.jsp (http://www.altera.com/literature/lit-max-10.jsp)
Title: Re: Altera Max10 FPGAs
Post by: miguelvp on September 30, 2014, 05:32:10 pm
Nice, here is Arrows offering at $30 and with built in programmer and more goodies than the other board, but no stock yet, and their edge connector that is expensive, but I have an adaptor for it already:

http://parts.arrow.com/item/detail/arrow-development-tools/bemicromax10 (http://parts.arrow.com/item/detail/arrow-development-tools/bemicromax10)

(https://www.eevblog.com/forum/microcontrollers/altera-max10-fpgas/?action=dlattach;attach=110864;image)

Edit fixed embedded image and added description:

Board Highlights

Features Altera MAX® 10 FPGA with 8,000 Logic Elements, ADC block, temperature sense diode, onchip-RAM, user flash memory and non-volatile self-configuration.
Extensible via 2 Digital PMOD Interface headers
Allows for further expansion from two 40-pin prototyping headers

One MAX® 10 FPGA (10M08DAF484C8G)
 8,000 LEs
414 Kbit (Kb) M9K memory
256 Kbit (Kb) user flash memory
2 phase locked loops (PLLs)
24 18x18-bit multipliers
1 ADC block – 1 MSa/sec, 12-bit, 18-channels
17 analog inputs
1 temperature sense diode
250 general purpose input/output (GPIO)
Non-volatile self-configuration with dual-boot support

Clocking circuitry

50 MHz oscillator
24 MHz oscillator

External peripherals

8MB SDRAM (4Mb x 16) (ISSI IS42S16400)
Accelerometer, 3-Axis, SPI interface (Analog Devices ADXL362)
DAC, 12-bit, SPI interface (Analog Devices AD5681)
Temperature sensor, I2C interface (Analog Devices ADT7420)

Thermal resistor
Photo resistor

General user input / output

8 user LEDs
2 user Pushbuttons

Prototyping

Two 6-pin PMOD expansion headers
Two 40-pin prototyping headers which provide access to 64 digital I/O
6 analog inputs
One 80-pin BeMicro card edge connector
Title: Re: Altera Max10 FPGAs
Post by: mikeselectricstuff on October 01, 2014, 01:50:10 pm
Mouser have a few parts in stock, with others due Jan 2015
Title: Re: Altera Max10 FPGAs
Post by: EEVblog on October 01, 2014, 01:57:37 pm
Are we ever going to see decent FPGAs in mid-pinout QFP/QFNs so they can be used on 2 layer PCBs?  :palm:

I've been asking the "big two" for 15 years now, and the answer has always been no.
Title: Re: Altera Max10 FPGAs
Post by: chickenHeadKnob on October 01, 2014, 07:56:37 pm
Are we ever going to see decent FPGAs in mid-pinout QFP/QFNs so they can be used on 2 layer PCBs?  :palm:

I've been asking the "big two" for 15 years now, and the answer has always been no.

I have been looking at the fpga line-ups of lattice xillinx and altera for a micro 'companion' part that is available in a QFP. That also has sufficient I/O's left over after a dual port memory  interface to a beagle-bone GPMC (general purpose memory channel) parallel bus.

 So far the largest QFP I can find is a Cyclone III EPC3C16Q240. 240 pins with 160 I/O's and around $29.10 single quantity; is this the champion for I/O  or is anyone aware of something better?
Title: Re: Altera Max10 FPGAs
Post by: Precipice on October 01, 2014, 08:17:27 pm
I've been asking the "big two" for 15 years now, and the answer has always been no.

Could / should someone keen invent the 'abomination' package, with a chipscale FPGA, configuration EEPROM if needed, handful of tiny switchers for the rails, decouplers as needed, all on a tight-rules multilayer PCB, like you get on BGAs, then bond it out onto a leadframe from
http://www.shinko.co.jp/english/product/leadframe/ (http://www.shinko.co.jp/english/product/leadframe/)  (or others, that was first search hit)
Give it a big belly pad for easy grounding and thermals, and off you go, lots of FPGA, some IO.
I've been looking for an excuse to buy a wire bonder (got the die bonder already, impulse purchase...), but I still think this probably isn't it. Surely the market for supercheap Chinese FPGA breakout PCBs with DIP pins is the logical entry to this game, and if you want it smaller, just suck it up and fit BGA. Plough through I/O balls with voltage rails if you must - wastes pins, but makes a board routable on fewer layers.

Of course, anyone going down this path successfully will have their market yoinked away by the FPGA vendors or knockoff shops in about 8 seconds.
Title: Re: Altera Max10 FPGAs
Post by: chickenHeadKnob on October 01, 2014, 09:59:22 pm

Could / should someone keen invent the 'abomination' package, with a chipscale FPGA, configuration EEPROM if needed, handful of tiny switchers for the rails, decouplers as needed, all on a tight-rules multilayer PCB, like you get on BGAs, then bond it out onto a leadframe from
http://www.shinko.co.jp/english/product/leadframe/ (http://www.shinko.co.jp/english/product/leadframe/)  (or others, that was first search hit)
Give it a big belly pad for easy grounding and thermals, and off you go, lots of FPGA, some IO.


I have much more modest requirements, don't need special packaging just a simple tested breakout board. HuMandata.jp which advertises on Dave's blog site makes just what I am looking for:http://www2.hdl.co.jp/en/index.php/altera-series1/cycloneiv/acm-204-ql.html (http://www2.hdl.co.jp/en/index.php/altera-series1/cycloneiv/acm-204-ql.html)

But at $266.00 for the smallest and climbing to the stratisphere I start looking hard at the terasic SoCKIT board.
Title: Re: Altera Max10 FPGAs
Post by: mikeselectricstuff on October 01, 2014, 10:25:47 pm
There are quite a few "module" boards with FPGA+config, regs and often RAM.
But of course everyone's needs are different.
All I want is them to take the die they use in the smaller BGAs and bond it into a 48 or 64 QFP or QFN with 0.5mm pitch. Single supply is nice, if only because it makes it  a lot easier to route on 2 layers with a star or ring under the chip on the top layer.
Internal config mem is nice, but as serial flash is so cheap now , external isn't a big deal.
 Dual supply is sometimes doable on 2L, but depends a lot on pin locations, and limits space for other routing under the chip.
Title: Re: Altera Max10 FPGAs
Post by: Bassman59 on October 02, 2014, 10:20:41 pm
I have been looking at the fpga line-ups of lattice xillinx and altera for a micro 'companion' part that is available in a QFP. That also has sufficient I/O's left over after a dual port memory  interface to a beagle-bone GPMC (general purpose memory channel) parallel bus.

 So far the largest QFP I can find is a Cyclone III EPC3C16Q240. 240 pins with 160 I/O's and around $29.10 single quantity; is this the champion for I/O  or is anyone aware of something better?

I don't know how many I/Os you actually need, but what about Xilinx Spartan 3AN XC3S50AN? 144-pin TQFP.
Title: Re: Altera Max10 FPGAs
Post by: marshallh on October 02, 2014, 10:29:54 pm
240pin qfp is basically the biggest they bother with QFP these days. Any bigger and the package parasitics are too big. (Older devices used to be in 300+pin qfps but they also had much slower i/o)
Title: Re: Altera Max10 FPGAs
Post by: mikeselectricstuff on October 02, 2014, 10:45:07 pm
QFPs greater than 144 pins are just silly.
Title: Re: Altera Max10 FPGAs
Post by: JoeN on October 03, 2014, 01:26:18 am
Good that the whole range is available in QFP, but only one option and 0.4mm pitch. 
I have found that 0.4mm is not significantly harder to hand-solder than 0.5mm.  Have you?
Title: Re: Altera Max10 FPGAs
Post by: chickenHeadKnob on October 03, 2014, 07:37:53 am
I have been looking at the fpga line-ups of lattice xillinx and altera for a micro 'companion' part that is available in a QFP. That also has sufficient I/O's left over after a dual port memory  interface to a beagle-bone GPMC (general purpose memory channel) parallel bus.

 So far the largest QFP I can find is a Cyclone III EPC3C16Q240. 240 pins with 160 I/O's and around $29.10 single quantity; is this the champion for I/O  or is anyone aware of something better?

I don't know how many I/Os you actually need, but what about Xilinx Spartan 3AN XC3S50AN? 144-pin TQFP.

Yes that would work and it is nice and cheap too. I also looked at these other qfp-144 devices :

Along with the cyclone III they would all be workable, life is good except for the option paralysis! I like the MachX02 for non-volatile config-mem, and  the Xilinx  for cheapness but Marshall + free-electron convinced me Quartus is much better than ISE so I prefer Altera. :box:

Valent_f(x) already make a finished board with a XC6SL9,  the Logi-Bone, that has around 32 or 34 I/O for the user left over after interfacing to the Beagle-bone and SDRAM. Somewhat limited and then I would have to learn ISE. With any choice if you run out of I/O on the first fpga you could always add a second for the slower I/O and string it on a spi port as a kind of escape hatch, but that is a little bit dicky.

I expect the next generation of application ARM's and their Intel competitors to ubiquitously offer a single lane GEN 1 PCIe. It is beginning to happen now with Freescale i.Mx6 and intel edison galileo/quark parts and hopefully the fpga's with PCIe hard-block and Xcvrs will be cheaper. And those devices are only going to come in BGA's.

I didn't want to thread high-jack it's just that the MAX 10  intended market niche would appear to be these types of applications.
Title: Re: Altera Max10 FPGAs
Post by: mikeselectricstuff on October 03, 2014, 08:23:31 am
Good that the whole range is available in QFP, but only one option and 0.4mm pitch. 
I have found that 0.4mm is not significantly harder to hand-solder than 0.5mm.  Have you?
Not tried, but my pick & place, gets a bit marginal at 0.5mm so 0.4 may push it over the edge.
Apart from wasted board space, one of my main issues is that If I need to use a 144 pin package for a design that only needs a couple of dozen pins, I still have the risk of more rework to fix shorted (unnecessary) pins.
OK you can in principle ignore shorts between unused pins but keeping track of which pins matter would be a pain, unless you could arrange for them all to be on one or two sides.

Example on a new project I just started looking at - it would be marginal on a 32 bit MCU but really easy on an FPGA (driving a matrix display from a fast serial bus):
I need 10 I/O pins and about 2K of dual port RAM (1 write, 1 read).
I know Lattice XO2 well so will use that, but the lowest-end part is a 100 pin QFP. 
A 48QFP, or even a QFN32 version of the XO2640 would be perfect.
Title: Re: Altera Max10 FPGAs
Post by: Someone on October 10, 2014, 01:45:48 am
Are we ever going to see decent FPGAs in mid-pinout QFP/QFNs so they can be used on 2 layer PCBs?  :palm:

I've been asking the "big two" for 15 years now, and the answer has always been no.
Spartan 3 and 6 parts in QFP work fine on 2 layer boards, and they have always been supported through the free web pack licenses.

These new MAX10 devices will reduce part count nicely on small embedded systems, and the pricing is good so far.
Title: Re: Altera Max10 FPGAs
Post by: mikeselectricstuff on October 10, 2014, 07:59:28 am
Spartan 3 and 6 parts in QFP work fine on 2 layer boards, and they have always been supported through the free web pack licenses.

Yes but the smallest part is 100 pins, which takes a ton of board space.
There are plenty of applications that need nowhere need this many pins, and would benefit from a smaller, cheaper package with the same die in it.
Title: Re: Altera Max10 FPGAs
Post by: autobot on October 13, 2014, 08:11:30 pm

Example on a new project I just started looking at - it would be marginal on a 32 bit MCU but really easy on an FPGA (driving a matrix display from a fast serial bus):
I need 10 I/O pins and about 2K of dual port RAM (1 write, 1 read).
I know Lattice XO2 well so will use that, but the lowest-end part is a 100 pin QFP. 
A 48QFP, or even a QFN32 version of the XO2640 would be perfect.


Sounds like a good fit for a xmos mcu. 1/2cores should be enough to handle the display , and you'll get a few extra cores left.
Title: Re: Altera Max10 FPGAs
Post by: mikeselectricstuff on October 13, 2014, 08:28:45 pm

Example on a new project I just started looking at - it would be marginal on a 32 bit MCU but really easy on an FPGA (driving a matrix display from a fast serial bus):
I need 10 I/O pins and about 2K of dual port RAM (1 write, 1 read).
I know Lattice XO2 well so will use that, but the lowest-end part is a 100 pin QFP. 
A 48QFP, or even a QFN32 version of the XO2640 would be perfect.


Sounds like a good fit for a xmos mcu. 1/2cores should be enough to handle the display , and you'll get a few extra cores left.
Maybe but I  shouldn't have to  learn a whole new architecture & toolchain and rewrite already-working code just because FPGA makers can't be arsed to offer a decent range of packages.
Title: Re: Altera Max10 FPGAs
Post by: Precipice on October 14, 2014, 01:44:24 pm
Maybe but I  shouldn't have to  learn a whole new architecture & toolchain and rewrite already-working code just because FPGA makers can't be arsed to offer a decent range of packages.

http://www.xilinx.com/applications/aerospace-and-defense/bare-die-program/index.htm (http://www.xilinx.com/applications/aerospace-and-defense/bare-die-program/index.htm)

Go on, roll your own (or pay someone with the gear). No idea what the MOQ is - but I was recently surprised to find that TI support prototyping with bare die.
Although it's not good news that Xilinx seem to regard bare die as a military, rather than a consumer, prospect... Maybe other FPGA houses are more amenable?
Title: Re: Altera Max10 FPGAs
Post by: ConKbot on October 14, 2014, 08:49:20 pm
Maybe but I  shouldn't have to  learn a whole new architecture & toolchain and rewrite already-working code just because FPGA makers can't be arsed to offer a decent range of packages.

http://www.xilinx.com/applications/aerospace-and-defense/bare-die-program/index.htm (http://www.xilinx.com/applications/aerospace-and-defense/bare-die-program/index.htm)

Go on, roll your own (or pay someone with the gear). No idea what the MOQ is - but I was recently surprised to find that TI support prototyping with bare die.
Although it's not good news that Xilinx seem to regard bare die as a military, rather than a consumer, prospect... Maybe other FPGA houses are more amenable?

FPGAs rarely are a consumer prospect.  They are there, but usually scale of economy makes using ASICs financially preferred in equipment sold in consumer quantities (hundreds of thousands to millions) obviously, a military product doesnt have the push there to save $0.01 on the BOM because the contractor isnt making millions of the items.
Title: Re: Altera Max10 FPGAs
Post by: Rigby on October 16, 2014, 04:31:11 pm
FPGAs rarely are a consumer prospect.

As the prices of FPGAs continue to go down, that's going to change.  They seem to be appearing more and more often in things I take apart, which could indicate a higher usage rate in consumer goods.

FPGA prices are going down all the time.  I don't know about ASICs, so I can't say if the quantity boundary where it becomes cheaper to develop an ASIC instead of using FPGAs is going up or down.  I can say that I see a lot more FPGAs in consumer goods than I used to, which doesn't really mean anything on its own, I guess.
Title: Re: Altera Max10 FPGAs
Post by: ConKbot on October 17, 2014, 12:41:50 am
Very true, and especially with shorter time to market on items and shorter life cycles, and the nasty habit to send stuff to consumers without fully testing it and having bugs and just fixing it with firmware updates later.
Title: Re: Altera Max10 FPGAs
Post by: Rigby on October 17, 2014, 01:55:08 am


Very true, and especially with shorter time to market on items and shorter life cycles, and the nasty habit to send stuff to consumers without fully testing it and having bugs and just fixing it with firmware updates later.

is it a nasty habit of the manufacturers when customers demand the things faster and faster, or is that the customer's fault?  Market pressure forces bad products out the door, and if one manufacturer isn't willing to cut corners, they'll be beaten to market by one that is.  At least with FPGA's those products CAN be fixed later on.
Title: Re: Altera Max10 FPGAs
Post by: miguelvp on October 20, 2014, 07:34:59 am
Arrow has 14 of their Max10 kits on stock, it was 17 yesterday one of those is on its way here :)

Nice, here is Arrows offering at $30 and with built in programmer and more goodies than the other board, but no stock yet, and their edge connector that is expensive, but I have an adaptor for it already:

http://parts.arrow.com/item/detail/arrow-development-tools/bemicromax10 (http://parts.arrow.com/item/detail/arrow-development-tools/bemicromax10)

(https://www.eevblog.com/forum/microcontrollers/altera-max10-fpgas/?action=dlattach;attach=110864;image)

Edit fixed embedded image and added description:

Board Highlights

Features Altera MAX® 10 FPGA with 8,000 Logic Elements, ADC block, temperature sense diode, onchip-RAM, user flash memory and non-volatile self-configuration.
Extensible via 2 Digital PMOD Interface headers
Allows for further expansion from two 40-pin prototyping headers

One MAX® 10 FPGA (10M08DAF484C8G)
 8,000 LEs
414 Kbit (Kb) M9K memory
256 Kbit (Kb) user flash memory
2 phase locked loops (PLLs)
24 18x18-bit multipliers
1 ADC block – 1 MSa/sec, 12-bit, 18-channels
17 analog inputs
1 temperature sense diode
250 general purpose input/output (GPIO)
Non-volatile self-configuration with dual-boot support

Clocking circuitry

50 MHz oscillator
24 MHz oscillator

External peripherals

8MB SDRAM (4Mb x 16) (ISSI IS42S16400)
Accelerometer, 3-Axis, SPI interface (Analog Devices ADXL362)
DAC, 12-bit, SPI interface (Analog Devices AD5681)
Temperature sensor, I2C interface (Analog Devices ADT7420)

Thermal resistor
Photo resistor

General user input / output

8 user LEDs
2 user Pushbuttons

Prototyping

Two 6-pin PMOD expansion headers
Two 40-pin prototyping headers which provide access to 64 digital I/O
6 analog inputs
One 80-pin BeMicro card edge connector
Title: Re: Altera Max10 FPGAs
Post by: Rigby on October 20, 2014, 04:07:21 pm
I got two of those last week.  Haven't had a chance to play with them yet.  They are almost small FPGA breakout boards but not quite.
Title: Re: Altera Max10 FPGAs
Post by: miguelvp on October 20, 2014, 08:52:45 pm
I got two of those last week.  Haven't had a chance to play with them yet.  They are almost small FPGA breakout boards but not quite.

If you need the extra pins, sources for the edge connector:
http://www.findchips.com/search/MEC614002 (http://www.findchips.com/search/MEC614002)
http://octopart.com/partsearch#!?q=MEC614002 (http://octopart.com/partsearch#!?q=MEC614002)

Title: Re: Altera Max10 FPGAs
Post by: Rigby on October 20, 2014, 09:25:35 pm
Thanks!
Title: Re: Altera Max10 FPGAs
Post by: glatocha on October 23, 2014, 12:47:43 pm
Lattice iCE40 LP is offered in 32QFN package.
But I guess not so much resources inside
Title: Re: Altera Max10 FPGAs
Post by: mikeselectricstuff on October 23, 2014, 02:51:00 pm
Lattice iCE40 LP is offered in 32QFN package.
But I guess not so much resources inside
XO2 also has QFN32, but no block RAM or PLL Also rather wasteful on the 32 pins - 4 IO/power banks. At least you can reduce JTAG down to 1 enable + 4 shared pins.
Title: Re: Altera Max10 FPGAs
Post by: synvox on October 28, 2014, 05:24:12 pm
According to the MAX 10 PDF from Altera the EQFP 144 package is actually 0.5 mm pitch (22 mm square) and not 0.4 mm. So they are at least usable for hand soldering (drag soldering) and should be easy on pick&place machines. There seem to be a single voltage version in that package too:

http://www.altera.com/literature/br/br-max10-brochure.pdf#page=4 (http://www.altera.com/literature/br/br-max10-brochure.pdf#page=4)

Best regards
Neni
Title: Re: Altera Max10 FPGAs
Post by: JoeN on November 03, 2014, 06:50:50 am
According to the MAX 10 PDF from Altera the EQFP 144 package is actually 0.5 mm pitch (22 mm square) and not 0.4 mm. So they are at least usable for hand soldering (drag soldering) and should be easy on pick&place machines. There seem to be a single voltage version in that package too:

http://www.altera.com/literature/br/br-max10-brochure.pdf#page=4 (http://www.altera.com/literature/br/br-max10-brochure.pdf#page=4)

Best regards
Neni

Do most people really have more trouble with 0.4mm QFP packages than 0.5mm ones?  I don't have a quantitative way to measure, but my feeling is that I haven't had a hugely worse time with them with respect to hand soldering.
Title: Re: Altera Max10 FPGAs
Post by: m4l490n on October 13, 2015, 11:41:07 pm
Nice, here is Arrows offering at $30 and with built in programmer and more goodies than the other board, but no stock yet, and their edge connector that is expensive, but I have an adaptor for it already

Taking a look to the BEMICROMAX10 I can see that it has a connector labeled as "USB_Blaster_JTAG" which I assume is the edge connector you are referring to (and that can be seen in the image). Can I use this USB_Blaster_JTAG instead of a $300usd USB Blaster to program the "MAX 10 FPGA Evaluation Kit" https://www.altera.com/products/boards_and_kits/dev-kits/altera/kit-max-10-evaluation.html (https://www.altera.com/products/boards_and_kits/dev-kits/altera/kit-max-10-evaluation.html)?

Regards.
Title: Re: Altera Max10 FPGAs
Post by: miguelvp on October 14, 2015, 12:00:36 am
Nice, here is Arrows offering at $30 and with built in programmer and more goodies than the other board, but no stock yet, and their edge connector that is expensive, but I have an adaptor for it already

Taking a look to the BEMICROMAX10 I can see that it has a connector labeled as "USB_Blaster_JTAG" which I assume is the edge connector you are referring to (and that can be seen in the image). Can I use this USB_Blaster_JTAG instead of a $300usd USB Blaster to program the "MAX 10 FPGA Evaluation Kit" https://www.altera.com/products/boards_and_kits/dev-kits/altera/kit-max-10-evaluation.html (https://www.altera.com/products/boards_and_kits/dev-kits/altera/kit-max-10-evaluation.html)?

Regards.

The edge connector is the 80 pin one, so if you need more I/O pins you can get this connector and make your own board to expand your I/O

http://www.findchips.com/search/MEC614002 (http://www.findchips.com/search/MEC614002)
http://octopart.com/partsearch#!?q=MEC614002 (http://octopart.com/partsearch#!?q=MEC614002)

Arrow also sells an interposer for $50 that allows you to connect to many Analog Devices Reference boards:

Interposer:
http://components.arrow.com/part/detail/NEG50150698S8959040N1911 (http://components.arrow.com/part/detail/NEG50150698S8959040N1911)

AD Reference Boards:
https://wiki.analog.com/resources/alliances/altera (https://wiki.analog.com/resources/alliances/altera)
http://www.analog.com/en/design-center/evaluation-hardware-and-software/evaluation-platforms/sdp.html#Compatible-Boards (http://www.analog.com/en/design-center/evaluation-hardware-and-software/evaluation-platforms/sdp.html#Compatible-Boards)

But you don't need any of that to program the Bemicro Max10 since it has a built in USB-Blaster, that extra edge connector is for expanding the BeMicro.

A good resource for the BeMicro Max10 is on this wiki:
http://www.alterawiki.com/wiki/BeMicro_MAX_10 (http://www.alterawiki.com/wiki/BeMicro_MAX_10)



Title: Re: Altera Max10 FPGAs
Post by: m4l490n on October 14, 2015, 12:14:46 am
The edge connector is the 80 pin one

Thanks for answering. And my bad. My question was wrong. What I need to know is if I can use the connector J7 as shown in the attached image to program other MAX10 FPGA tool. Speciffically, the MAX 10 FPGA Evaluation Kit.
Title: Re: Altera Max10 FPGAs
Post by: miguelvp on October 14, 2015, 12:56:22 am
To be honest I never did noticed J7 (finger connector) and I was referring to J2, thinking it was the only edge connector.

I haven't look too much in detail in the schematic:

http://www.alterawiki.com/uploads/e/ec/BeMicro_Max_10-Schematic_A4-20141008.pdf (http://www.alterawiki.com/uploads/e/ec/BeMicro_Max_10-Schematic_A4-20141008.pdf)

But they do have:
FPGA_TDI
FPGA_TMS
FPGA_TDO
FPGA_TCK
on pins 1 to 4 of the MAX V CPLD
and:
USB_TCK
USB_TDO
USB_TMS
USB_ASDO
USB_TDI
USB_NCE
USB_NCS
on pins 51-58 (skipping 57) of the MAX V CPLD(USB-Blaster)

So I'm not sure what is in there.

Also there are CPLD_TMS CPLD_TDI CPLD_TCK & CPLD_TDO on pins 14-17, also on the MAX V CPLD, that connect to the CPLD_JTAG on header J6 (unpopulated) but that would be to talk to the MAX V CPLD.

Would anything connected on the USB... show on the Quartus programmer? not sure.

Edit: it seems U2 (the Max 10) uses the FPGA_ ones, I don't think is on the same JTAG boundary as those USB_ ones but I guess you can figure it out.

Otherwise you can always get a USB-Blaster 2 from vwshare (although I don't know their ebay store and ordering directly from them is weird)
http://www.wvshare.com/column/ALTERA_programmer.htm (http://www.wvshare.com/column/ALTERA_programmer.htm)

Wait, I found their ebay store:
http://www.ebay.com/usr/waveshare?_trksid=p2047675.l2559 (http://www.ebay.com/usr/waveshare?_trksid=p2047675.l2559)

Item:
http://www.ebay.com/itm/USB-Blaster-V2-Download-Cable-ALTERA-FPGA-CPLD-EPCS16-EPC1-4-Programmer-Debugger-/251150460146 (http://www.ebay.com/itm/USB-Blaster-V2-Download-Cable-ALTERA-FPGA-CPLD-EPCS16-EPC1-4-Programmer-Debugger-/251150460146)

Edit2: but I did never purchased that device so I don't know if it will work with the Max 10, it should but I can't be sure.

Title: Re: Altera Max10 FPGAs
Post by: ale500 on October 15, 2015, 06:01:42 am
The ICE40UL devices have also a PLL, a QFP-48 version should be available soon... I just checked mouser and there is nothing in this package yet :(, oh well...
Title: Re: Altera Max10 FPGAs
Post by: ale500 on October 16, 2015, 05:54:31 am
I'm trying to get to the MAX10 documentation part of Altera's website... it keeps saying "Login timeout" but I can access MAXV's documentations without problems... have you also hit this problem ?
Title: Re: Altera Max10 FPGAs
Post by: miguelvp on October 16, 2015, 06:26:40 am
I can get to the documentation just fine and didn't even asked me to login:

https://www.altera.com/products/fpga/max-series/max-10/support.html (https://www.altera.com/products/fpga/max-series/max-10/support.html)

Maybe you are referring to the training classes but I don't think those are device specific. Haven't signed in since they changed the website around 6 month or so ago.