Author Topic: Altera Max10 FPGAs  (Read 26814 times)

0 Members and 1 Guest are viewing this topic.

Offline Rigby

  • Super Contributor
  • ***
  • Posts: 1476
  • Country: us
  • Learning, very new at this. Righteous Asshole, too
Re: Altera Max10 FPGAs
« Reply #25 on: October 17, 2014, 01:55:08 am »


Very true, and especially with shorter time to market on items and shorter life cycles, and the nasty habit to send stuff to consumers without fully testing it and having bugs and just fixing it with firmware updates later.

is it a nasty habit of the manufacturers when customers demand the things faster and faster, or is that the customer's fault?  Market pressure forces bad products out the door, and if one manufacturer isn't willing to cut corners, they'll be beaten to market by one that is.  At least with FPGA's those products CAN be fixed later on.
 

Offline miguelvp

  • Super Contributor
  • ***
  • Posts: 5550
  • Country: us
Re: Altera Max10 FPGAs
« Reply #26 on: October 20, 2014, 07:34:59 am »
Arrow has 14 of their Max10 kits on stock, it was 17 yesterday one of those is on its way here :)

Nice, here is Arrows offering at $30 and with built in programmer and more goodies than the other board, but no stock yet, and their edge connector that is expensive, but I have an adaptor for it already:

http://parts.arrow.com/item/detail/arrow-development-tools/bemicromax10



Edit fixed embedded image and added description:

Board Highlights

Features Altera MAX® 10 FPGA with 8,000 Logic Elements, ADC block, temperature sense diode, onchip-RAM, user flash memory and non-volatile self-configuration.
Extensible via 2 Digital PMOD Interface headers
Allows for further expansion from two 40-pin prototyping headers

One MAX® 10 FPGA (10M08DAF484C8G)
 8,000 LEs
414 Kbit (Kb) M9K memory
256 Kbit (Kb) user flash memory
2 phase locked loops (PLLs)
24 18x18-bit multipliers
1 ADC block – 1 MSa/sec, 12-bit, 18-channels
17 analog inputs
1 temperature sense diode
250 general purpose input/output (GPIO)
Non-volatile self-configuration with dual-boot support

Clocking circuitry

50 MHz oscillator
24 MHz oscillator

External peripherals

8MB SDRAM (4Mb x 16) (ISSI IS42S16400)
Accelerometer, 3-Axis, SPI interface (Analog Devices ADXL362)
DAC, 12-bit, SPI interface (Analog Devices AD5681)
Temperature sensor, I2C interface (Analog Devices ADT7420)

Thermal resistor
Photo resistor

General user input / output

8 user LEDs
2 user Pushbuttons

Prototyping

Two 6-pin PMOD expansion headers
Two 40-pin prototyping headers which provide access to 64 digital I/O
6 analog inputs
One 80-pin BeMicro card edge connector
 

Offline Rigby

  • Super Contributor
  • ***
  • Posts: 1476
  • Country: us
  • Learning, very new at this. Righteous Asshole, too
Re: Altera Max10 FPGAs
« Reply #27 on: October 20, 2014, 04:07:21 pm »
I got two of those last week.  Haven't had a chance to play with them yet.  They are almost small FPGA breakout boards but not quite.
 

Offline miguelvp

  • Super Contributor
  • ***
  • Posts: 5550
  • Country: us
Re: Altera Max10 FPGAs
« Reply #28 on: October 20, 2014, 08:52:45 pm »
I got two of those last week.  Haven't had a chance to play with them yet.  They are almost small FPGA breakout boards but not quite.

If you need the extra pins, sources for the edge connector:
http://www.findchips.com/search/MEC614002
http://octopart.com/partsearch#!?q=MEC614002

 

Offline Rigby

  • Super Contributor
  • ***
  • Posts: 1476
  • Country: us
  • Learning, very new at this. Righteous Asshole, too
Re: Altera Max10 FPGAs
« Reply #29 on: October 20, 2014, 09:25:35 pm »
Thanks!
 

Offline glatocha

  • Regular Contributor
  • *
  • Posts: 114
Re: Altera Max10 FPGAs
« Reply #30 on: October 23, 2014, 12:47:43 pm »
Lattice iCE40 LP is offered in 32QFN package.
But I guess not so much resources inside
 

Offline mikeselectricstuffTopic starter

  • Super Contributor
  • ***
  • Posts: 13695
  • Country: gb
    • Mike's Electric Stuff
Re: Altera Max10 FPGAs
« Reply #31 on: October 23, 2014, 02:51:00 pm »
Lattice iCE40 LP is offered in 32QFN package.
But I guess not so much resources inside
XO2 also has QFN32, but no block RAM or PLL Also rather wasteful on the 32 pins - 4 IO/power banks. At least you can reduce JTAG down to 1 enable + 4 shared pins.
Youtube channel:Taking wierd stuff apart. Very apart.
Mike's Electric Stuff: High voltage, vintage electronics etc.
Day Job: Mostly LEDs
 

Offline synvox

  • Newbie
  • Posts: 6
Re: Altera Max10 FPGAs
« Reply #32 on: October 28, 2014, 05:24:12 pm »
According to the MAX 10 PDF from Altera the EQFP 144 package is actually 0.5 mm pitch (22 mm square) and not 0.4 mm. So they are at least usable for hand soldering (drag soldering) and should be easy on pick&place machines. There seem to be a single voltage version in that package too:

http://www.altera.com/literature/br/br-max10-brochure.pdf#page=4

Best regards
Neni
 

Offline JoeN

  • Frequent Contributor
  • **
  • Posts: 991
  • Country: us
  • We Buy Trannies By The Truckload
Re: Altera Max10 FPGAs
« Reply #33 on: November 03, 2014, 06:50:50 am »
According to the MAX 10 PDF from Altera the EQFP 144 package is actually 0.5 mm pitch (22 mm square) and not 0.4 mm. So they are at least usable for hand soldering (drag soldering) and should be easy on pick&place machines. There seem to be a single voltage version in that package too:

http://www.altera.com/literature/br/br-max10-brochure.pdf#page=4

Best regards
Neni

Do most people really have more trouble with 0.4mm QFP packages than 0.5mm ones?  I don't have a quantitative way to measure, but my feeling is that I haven't had a hugely worse time with them with respect to hand soldering.
Have You Been Triggered Today?
 

Offline m4l490n

  • Contributor
  • Posts: 17
Re: Altera Max10 FPGAs
« Reply #34 on: October 13, 2015, 11:41:07 pm »
Nice, here is Arrows offering at $30 and with built in programmer and more goodies than the other board, but no stock yet, and their edge connector that is expensive, but I have an adaptor for it already

Taking a look to the BEMICROMAX10 I can see that it has a connector labeled as "USB_Blaster_JTAG" which I assume is the edge connector you are referring to (and that can be seen in the image). Can I use this USB_Blaster_JTAG instead of a $300usd USB Blaster to program the "MAX 10 FPGA Evaluation Kit" https://www.altera.com/products/boards_and_kits/dev-kits/altera/kit-max-10-evaluation.html?

Regards.
 

Offline miguelvp

  • Super Contributor
  • ***
  • Posts: 5550
  • Country: us
Re: Altera Max10 FPGAs
« Reply #35 on: October 14, 2015, 12:00:36 am »
Nice, here is Arrows offering at $30 and with built in programmer and more goodies than the other board, but no stock yet, and their edge connector that is expensive, but I have an adaptor for it already

Taking a look to the BEMICROMAX10 I can see that it has a connector labeled as "USB_Blaster_JTAG" which I assume is the edge connector you are referring to (and that can be seen in the image). Can I use this USB_Blaster_JTAG instead of a $300usd USB Blaster to program the "MAX 10 FPGA Evaluation Kit" https://www.altera.com/products/boards_and_kits/dev-kits/altera/kit-max-10-evaluation.html?

Regards.

The edge connector is the 80 pin one, so if you need more I/O pins you can get this connector and make your own board to expand your I/O

http://www.findchips.com/search/MEC614002
http://octopart.com/partsearch#!?q=MEC614002

Arrow also sells an interposer for $50 that allows you to connect to many Analog Devices Reference boards:

Interposer:
http://components.arrow.com/part/detail/NEG50150698S8959040N1911

AD Reference Boards:
https://wiki.analog.com/resources/alliances/altera
http://www.analog.com/en/design-center/evaluation-hardware-and-software/evaluation-platforms/sdp.html#Compatible-Boards

But you don't need any of that to program the Bemicro Max10 since it has a built in USB-Blaster, that extra edge connector is for expanding the BeMicro.

A good resource for the BeMicro Max10 is on this wiki:
http://www.alterawiki.com/wiki/BeMicro_MAX_10



 

Offline m4l490n

  • Contributor
  • Posts: 17
Re: Altera Max10 FPGAs
« Reply #36 on: October 14, 2015, 12:14:46 am »
The edge connector is the 80 pin one

Thanks for answering. And my bad. My question was wrong. What I need to know is if I can use the connector J7 as shown in the attached image to program other MAX10 FPGA tool. Speciffically, the MAX 10 FPGA Evaluation Kit.
 

Offline miguelvp

  • Super Contributor
  • ***
  • Posts: 5550
  • Country: us
Re: Altera Max10 FPGAs
« Reply #37 on: October 14, 2015, 12:56:22 am »
To be honest I never did noticed J7 (finger connector) and I was referring to J2, thinking it was the only edge connector.

I haven't look too much in detail in the schematic:

http://www.alterawiki.com/uploads/e/ec/BeMicro_Max_10-Schematic_A4-20141008.pdf

But they do have:
FPGA_TDI
FPGA_TMS
FPGA_TDO
FPGA_TCK
on pins 1 to 4 of the MAX V CPLD
and:
USB_TCK
USB_TDO
USB_TMS
USB_ASDO
USB_TDI
USB_NCE
USB_NCS
on pins 51-58 (skipping 57) of the MAX V CPLD(USB-Blaster)

So I'm not sure what is in there.

Also there are CPLD_TMS CPLD_TDI CPLD_TCK & CPLD_TDO on pins 14-17, also on the MAX V CPLD, that connect to the CPLD_JTAG on header J6 (unpopulated) but that would be to talk to the MAX V CPLD.

Would anything connected on the USB... show on the Quartus programmer? not sure.

Edit: it seems U2 (the Max 10) uses the FPGA_ ones, I don't think is on the same JTAG boundary as those USB_ ones but I guess you can figure it out.

Otherwise you can always get a USB-Blaster 2 from vwshare (although I don't know their ebay store and ordering directly from them is weird)
http://www.wvshare.com/column/ALTERA_programmer.htm

Wait, I found their ebay store:
http://www.ebay.com/usr/waveshare?_trksid=p2047675.l2559

Item:
http://www.ebay.com/itm/USB-Blaster-V2-Download-Cable-ALTERA-FPGA-CPLD-EPCS16-EPC1-4-Programmer-Debugger-/251150460146

Edit2: but I did never purchased that device so I don't know if it will work with the Max 10, it should but I can't be sure.

« Last Edit: October 14, 2015, 01:10:43 am by miguelvp »
 

Offline ale500

  • Frequent Contributor
  • **
  • Posts: 415
Re: Altera Max10 FPGAs
« Reply #38 on: October 15, 2015, 06:01:42 am »
The ICE40UL devices have also a PLL, a QFP-48 version should be available soon... I just checked mouser and there is nothing in this package yet :(, oh well...
 

Offline ale500

  • Frequent Contributor
  • **
  • Posts: 415
Re: Altera Max10 FPGAs
« Reply #39 on: October 16, 2015, 05:54:31 am »
I'm trying to get to the MAX10 documentation part of Altera's website... it keeps saying "Login timeout" but I can access MAXV's documentations without problems... have you also hit this problem ?
 

Offline miguelvp

  • Super Contributor
  • ***
  • Posts: 5550
  • Country: us
Re: Altera Max10 FPGAs
« Reply #40 on: October 16, 2015, 06:26:40 am »
I can get to the documentation just fine and didn't even asked me to login:

https://www.altera.com/products/fpga/max-series/max-10/support.html

Maybe you are referring to the training classes but I don't think those are device specific. Haven't signed in since they changed the website around 6 month or so ago.
 


Share me

Digg  Facebook  SlashDot  Delicious  Technorati  Twitter  Google  Yahoo
Smf