Author Topic: Efinix Trion FPGA's  (Read 11382 times)

0 Members and 1 Guest are viewing this topic.

Offline woofyTopic starter

  • Frequent Contributor
  • **
  • Posts: 329
  • Country: gb
    • Woofys Place
Efinix Trion FPGA's
« on: November 04, 2021, 03:52:22 pm »
Mention of these in another post caught my attention. Digikey seems to have plenty of stock and it seems these are some of the lowest cost FPGA's I've never heard of.
So why no low cost 3rd party/hobbyist boards, not even on AliExpress.
Seems the software is not free unless you purchase an Efinix dev board. That must be a very short sited policy as hobbyists get proficient and take their skills to future employers.
It certainly stops 3rd parties designing low cost boards as no one can use them without the software license.

Offline mon2

  • Frequent Contributor
  • **
  • Posts: 463
  • Country: ca
Re: Efinix Trion FPGA's
« Reply #1 on: November 04, 2021, 06:29:02 pm »
Hi. We are reviewing the Efinix and also the Gowin devices for different projects. Respectively have tools for both in-house. Both are strong players in the marketplace and are supporting at least in the US markets.

1) For the TRION device introduction, consider the XYLONI board:

https://www.digikey.ca/en/products/detail/efinix-inc/XYLONI/13535080?s=N4IgTCBcDaIB4E8A2B7AdgSxAXQL5A

Cool kit @ $35 USD.

Use it to test their toolchain with Verilog / VHDL or even a RISC-V CPU.

The purchase of this kit (or any other from their product line) will grant you a 1 year license.

2) I think Efinix is flexible with respect to the licensing of their tools. Contact them directly if you are considering to build some kit or tool with their devices and wish to pair it up with a license for the end customer. As they will be selling you silicon, they should be fine with the license support.

3) Also review Gowin FPGA devices - IMHO the pricing on Mouser are a bit high for their product line. Contact Edge Electronics (Rob) for some competitive pricing. Excellent support from David (FAE in the USA) for Gowin line. He has some very good videos on Vimeo on how to use their tools to enable a soft or hard CPU, etc.

4) Gowin offers some creative FPGA devices at low cost - . Cannot share our pricing but can say that the FPGA with HARD CM3 CPU is < $ 3 USD in low volume.

On the Gowin devices, you can purchase from Amazon or like us, from Aliexpress - the MINISTAR kit which features the FPGA (about 4900 LUTS + Hard CM3 CPU):

https://github.com/magicjellybeanfpga/MiniStar

Post back if you need more details on either line.
 
The following users thanked this post: paf, edavid, woofy

Offline woofyTopic starter

  • Frequent Contributor
  • **
  • Posts: 329
  • Country: gb
    • Woofys Place
Re: Efinix Trion FPGA's
« Reply #2 on: November 04, 2021, 08:19:55 pm »
The purchase of this kit (or any other from their product line) will grant you a 1 year license.

Do you know what happens after 1 year? Do they grant a free extension, or is there a renewal fee?

Offline CJay

  • Super Contributor
  • ***
  • Posts: 4136
  • Country: gb
Re: Efinix Trion FPGA's
« Reply #3 on: November 04, 2021, 08:46:56 pm »
The purchase of this kit (or any other from their product line) will grant you a 1 year license.

Do you know what happens after 1 year? Do they grant a free extension, or is there a renewal fee?

$35 for a new board and another year license?
 

Offline davorin

  • Supporter
  • ****
  • Posts: 922
  • Country: ch
Re: Efinix Trion FPGA's
« Reply #4 on: November 04, 2021, 09:05:08 pm »
Exactly ;-)

Get a new Fireant or Xyloni board if you need to extend the license..

But you can still use the software if the one year is over as there is no license file involved.
Only downloading the IDE is disabled when you don't renew...

I got me two Xyloni boards...and when I need to download a new version I just register the serial number of a board.

BTW: Had the experience that Fireant boards don't come with a serial number...so had to mail a copy of the invoice to Efinix.
 
The following users thanked this post: Someone

Offline chickenHeadKnob

  • Super Contributor
  • ***
  • Posts: 1055
  • Country: ca
Re: Efinix Trion FPGA's
« Reply #5 on: November 04, 2021, 10:25:54 pm »
Is  the xyloni board node or device locked to the device on the board or can you use the software to target other devices - say a T8 in the 144 pin TQFP?
 

Offline mon2

  • Frequent Contributor
  • **
  • Posts: 463
  • Country: ca
Re: Efinix Trion FPGA's
« Reply #6 on: November 04, 2021, 11:47:53 pm »
No lock on the license or pc. No restrictions on the target FPGA devices. As per @Davorin's post - the software maintenance will expire if you do not renew. So you will be unable to download the latest tools / patches. Any purchased kit will grant you the 1 year license. I think it is more than fair to access the toolchain. Time permitting, we plan to build some low cost break out boards for their devices like we have done for the Lattice ICE40UL1K (pdip). Feedback and suggestions are welcomed. We have a full SMT line in house.
« Last Edit: November 05, 2021, 12:01:49 am by mon2 »
 
The following users thanked this post: paf, Someone, chickenHeadKnob, SiliconWizard, woofy

Offline woofyTopic starter

  • Frequent Contributor
  • **
  • Posts: 329
  • Country: gb
    • Woofys Place
Re: Efinix Trion FPGA's
« Reply #7 on: November 05, 2021, 10:20:48 am »
Time permitting, we plan to build some low cost break out boards for their devices like we have done for the Lattice ICE40UL1K (pdip). Feedback and suggestions are welcomed. We have a full SMT line in house.

It's great that you are thinking of doing some breakout boards, you may be the first to get there.
I think if I wanted one of your breakout boards and also needed to purchase a XYLONI board to get the licence, I'd likely just use the XYLONI board. This is the point I was making in my first post that the restrictive licence seems a short sighted policy. I hope they change it and make the IDE freely downloadable. Then there will be plenty of low cost breakout boards available, and that can only be a good thing as these really do look interesting chips.

Offline davorin

  • Supporter
  • ****
  • Posts: 922
  • Country: ch
Re: Efinix Trion FPGA's
« Reply #8 on: November 05, 2021, 10:34:31 am »
Be aware that those boards with a T8 are much slower....as they offer the T4 and T8 only in C2 speed except for the QFP package...others can be clocked much higher.

For example just synthesizing the tv80 core gives maximum CPU clock:

Code: [Select]
T4F81C2 25MHz
T8F81C2 25MHz
T8Q144C3 58.2MHz
T8Q144C4 71.5MHz
T8Q144C4L 58.2MHz
T8Q144I4 71.5MHz
T8Q144I4L 58.3MHz
T13F169C4 81.2MHz
T13F169I4 81.2MHz
T13F256C4 77.4MHz
 
The following users thanked this post: woofy

Offline mon2

  • Frequent Contributor
  • **
  • Posts: 463
  • Country: ca
Re: Efinix Trion FPGA's
« Reply #9 on: November 05, 2021, 10:57:19 am »
@woofy, actually the factory is very encouraging. We are dealing with their USA office. Respectively stated we can work with them to pair up our pending kits with a license. I think that both of the discussed vendors, Efinix and Gowin wish to grow their market space with affordable FPGAs. Each is shipping high volumes of their parts for assorted devices. We are thankful that they are listening to feedback and potential bug fixes, etc.
 
The following users thanked this post: woofy

Offline paf

  • Regular Contributor
  • *
  • Posts: 91
Re: Efinix Trion FPGA's
« Reply #10 on: November 06, 2021, 09:16:00 am »
 

Offline woofyTopic starter

  • Frequent Contributor
  • **
  • Posts: 329
  • Country: gb
    • Woofys Place
Re: Efinix Trion FPGA's
« Reply #11 on: November 16, 2021, 05:56:10 pm »
Well I went ahead and ordered a Xyloni module to play with. So far I quite like it.

The IDE is pleasant to use. It goes full flow to bit stream with a single button press, and presents resource utilisation and timing on the screen.
Programming is just a click away.

I tried my nanocore cpu out on the module with a small program to increment a counter, output to the leds, delay and repeat.
It uses 753 LE's and runs at 47MHz.

For comparison, the ice40 HX8k using yosys/nextpnr uses 618 LC's and runs at 80MHz.
The C2 speed grade on the Xyloni board is the slowest one. The C3/C4 grades available on the 144 pin QFP would probably equal the HX.

On the downside, when I registered the kit to get the software, I had ONE DAY ONLY to download it. I did it in time, but the chance was gone the following day. Watch out for that if you register on a Friday.


Offline Bassman59

  • Super Contributor
  • ***
  • Posts: 2501
  • Country: us
  • Yes, I do this for a living
Re: Efinix Trion FPGA's
« Reply #12 on: November 16, 2021, 06:11:36 pm »
Well I went ahead and ordered a Xyloni module to play with. So far I quite like it.

The IDE is pleasant to use. It goes full flow to bit stream with a single button press, and presents resource utilisation and timing on the screen.
Programming is just a click away.

I seriously hate single-button-press design flows. If you try to do anything beyond a canned example, you run into all sorts of problems with beginning flows.
 

Offline woofyTopic starter

  • Frequent Contributor
  • **
  • Posts: 329
  • Country: gb
    • Woofys Place
Re: Efinix Trion FPGA's
« Reply #13 on: November 16, 2021, 06:24:23 pm »
I should have said it *can* do a full flow with a single click.

It will stop if errors are detected, and also the automated flow can be turned off.
There are buttons for synthesize, place, route and generate bit stream.

Offline SiliconWizard

  • Super Contributor
  • ***
  • Posts: 14445
  • Country: fr
Re: Efinix Trion FPGA's
« Reply #14 on: November 16, 2021, 07:16:28 pm »
Sure, automated full flow is a big word here anyway.

In all vendor tools I've used, just triggering the build/rebuild of the bitstream will automatically trigger the parts of the whole flow which have been modified anyway. Nothing special.
 

Offline glenenglish

  • Frequent Contributor
  • **
  • Posts: 258
  • Country: au
  • RF engineer. AI6UM / VK1XX . Aviation pilot. MTBr.
Re: Efinix Trion FPGA's
« Reply #15 on: June 09, 2022, 09:12:59 pm »

Any experienced FPGA people here got some comments on the Efinix parts? and the poerformance of the toolchain ?

I've read through all of the doco on their website (half a day), and the mix of features (for me) hits the spot. They're well priced, and wow those new Titanium FPGAs are very fast.

I guess I am more interested in the current Trion parts with the low leakage process than the newer parts- got to get my hands on a dev kit so I can run the power estimator over a few designs and understand the static power.

I use Xilinx Ultrascale for most designs, but there is certainly a place for these .


 

Offline mon2

  • Frequent Contributor
  • **
  • Posts: 463
  • Country: ca
Re: Efinix Trion FPGA's
« Reply #16 on: June 09, 2022, 10:01:37 pm »
Would not consider myself to be FPGA experienced but with some experience in using the Efinix tools and device.

1) Parts are fair priced.

2) Parts are available.

3) Kits now appear to be stable but had a rough start. Purchased their MIPI Ti60 kit twice to fix my first kit. Issue was with a defective SAMTEC connector that bridges their daughter board. In the process, the adjustable power supply for the backlight killed the display panel. The adjustable voltage regulator is a severe failure of a design when the backlight is this sensitive. In addition, the Ti60 features yet again, another adjustable pot for the core Vcc that is expected to be dialed to 0v95. Too high and the FPGA is blown. We have a BGA rework machine so it is a not an issue but again, a failure of a design on the power supply. These 2 rails should have been factory set and LOCKED down. Use fixed regulators in the future.

4) The toolchain takes a very long time to run -> ~1 hour on our i5 lab box. Gowin tools do not take this long but to be fair, not the same density either. The saving grace here is that once the IP has the embedded RISC-V processor, the compiler for this micro is fast which is where we are really building up our IP.

No included simulator with Efinix but other tools can be used. TBH - we have not had a need for this tool...yet.

Gowin includes a simulator.

5) Support is off the charts - have had numerous replies on a Sunday - yes Sunday. It is the limited 'free time' we have had to focus on the too many open next gen designs. Sales support is great and have us alerts on when inventory will be flowing through distribution. Gowin is also strong on support.

 

Offline Bassman59

  • Super Contributor
  • ***
  • Posts: 2501
  • Country: us
  • Yes, I do this for a living
Re: Efinix Trion FPGA's
« Reply #17 on: June 09, 2022, 10:34:11 pm »
4) The toolchain takes a very long time to run -> ~1 hour on our i5 lab box. Gowin tools do not take this long but to be fair, not the same density either. The saving grace here is that once the IP has the embedded RISC-V processor, the compiler for this micro is fast which is where we are really building up our IP.

How complex is this design?
 

Offline glenenglish

  • Frequent Contributor
  • **
  • Posts: 258
  • Country: au
  • RF engineer. AI6UM / VK1XX . Aviation pilot. MTBr.
Re: Efinix Trion FPGA's
« Reply #18 on: June 09, 2022, 11:15:12 pm »
thanks mon2

do you think this design took a long time to place and route (or was it synthesis time ? )  because the timing closure was difficult (due to either poor/inexperienced design or a very tough (optimistic) timing strategy )  ?
maybe you can give us some details.

I am waiting for dev kits thast I want to come back into stock
I am happy to buy one from someone for what they paid.

glen

 

Offline SpacedCowboy

  • Frequent Contributor
  • **
  • Posts: 292
  • Country: gb
  • Aging physicist
Re: Efinix Trion FPGA's
« Reply #19 on: June 10, 2022, 05:19:32 am »
The purchase of this kit (or any other from their product line) will grant you a 1 year license.

Do you know what happens after 1 year? Do they grant a free extension, or is there a renewal fee?

$35 for a new board and another year license?

I pinged the FAE and got a relicence for a year free of charge. Talk and ask - the worst that can happen is they say no. I'm no huge consumer of their parts, but I've always had reasonable ranging up to awesome responses from them, and have frequently got answers back in minutes rather than days...
 

Offline Bassman59

  • Super Contributor
  • ***
  • Posts: 2501
  • Country: us
  • Yes, I do this for a living
Re: Efinix Trion FPGA's
« Reply #20 on: June 10, 2022, 06:18:37 pm »
I am waiting for dev kits thast I want to come back into stock

Efinix still claims the Xyloni board is $35, but now DigiKey's price is $75 and there is zero stock.

So the idea of "buy a board and get access to the tools" is a big fail.

 

Offline mon2

  • Frequent Contributor
  • **
  • Posts: 463
  • Country: ca
Re: Efinix Trion FPGA's
« Reply #21 on: June 10, 2022, 07:18:43 pm »
Quote
DigiKey's price is $75

Wow!! The Xyloni features the FTDI FT4232H onboard. Betting that this component is causing the shortage and/or price hike. Check the cost of the FTDI devices offshore, they are insanely priced. Mega gouging.

I know that we have been waiting and waiting and waiting for the FT232HQ to arrive and numerous promise dates have been broken.

On the time to run the toolchain (~1 hour) - this was for the Ti60 target and was the sample project the factory supplies to capture the RPI camera (CSI interface) and then stream it out to the MIPI DSI panel. The same demo can also stream the data to the host PC over the USB 3.0 interface as a UVC camera.
 

Offline glenenglish

  • Frequent Contributor
  • **
  • Posts: 258
  • Country: au
  • RF engineer. AI6UM / VK1XX . Aviation pilot. MTBr.
Re: Efinix Trion FPGA's
« Reply #22 on: June 10, 2022, 09:43:25 pm »
Hi mon2
You are saying the unchanged , untouched example design took 1 hour to build ? hmm

We all know the tool performance effect of  over constraining, and indeed  not constraining when we should constrain, and inappropriate constraints, like not defining multi-cycles etc, and the tool works its guts out to satisfy what is a dont-care.

Anyway much to learn on the tools side of this series. In Vivado, everything is timed unless it is specifically not. which leads to quite high build times and slow max clocks for beginners who have not read and understood the "Xilinx Guide to Timing Constraints" 400 pages. LOL.

-glen
 

Offline glenenglish

  • Frequent Contributor
  • **
  • Posts: 258
  • Country: au
  • RF engineer. AI6UM / VK1XX . Aviation pilot. MTBr.
Re: Efinix Trion FPGA's
« Reply #23 on: June 10, 2022, 10:06:21 pm »
post script

interesting, the tools bring in the concept and practice of SEED SWEEPING.
and plenty of fairly well described a simple optimization strategies, so it looks friendly to both beginner and experienced users.

and the constraints keywords look very familiar (Xilinx)

I am a fairly heavy user of Logicore IP with xilinx, and if I use these chips, I might need to team it with a high level synthesis tool (that is at least partially hardware aware) , in order to save some HDL write/test time. (and burn gates).

glen
 

Online up8051

  • Frequent Contributor
  • **
  • Posts: 288
  • Country: pl
Re: Efinix Trion FPGA's
« Reply #24 on: April 05, 2023, 12:39:32 pm »
Now Efinix provides FREE licenses for the Efinity software.
No need to buy a starter kit  :)

https://www.efinixinc.com/products-efinity.html

BR JarekC
 
The following users thanked this post: paf, AndyC_772, asmi, woofy


Share me

Digg  Facebook  SlashDot  Delicious  Technorati  Twitter  Google  Yahoo
Smf