Author Topic: Looking for an editor / IDE that understands verilog  (Read 2884 times)

0 Members and 1 Guest are viewing this topic.

Offline cedric!Topic starter

  • Contributor
  • Posts: 35
  • Country: nl
Looking for an editor / IDE that understands verilog
« on: November 28, 2020, 08:02:33 am »
Hi all,
I'm making a pwm generator that can be controlled by SPI as a learning exercise for verilog and FPGA's.

I'm using a generic text editor, and a script to execute a simulation. Thes text editor has no idea about verilog, so they don't have code completion, syntax highlighting, following symbols and all the other help I do get when using Qt creator for writing C++

Therefore I'm looking for a text editor that runs on arch Linux that knows about verilog.

For reference, here's what I came up with so far:
Code: [Select]
$ cat simulate.sh
#!/bin/bash

iverilog -Wall spi_test.v
vvp a.out
gtkwave spi_test.vcd -a test.gtkw
Code: [Select]
$ cat spi_test.v
`include "spi.v"

module spi_testbench();
reg spiclk, cs, mosi;
wire [15:0] data;
spi dut(spiclk, cs, mosi, data);

initial begin
$dumpfile("spi_test.vcd");
$dumpvars(1, spiclk);
spiclk = 0;
$dumpvars(1, cs);
cs = 0;
$dumpvars(1, mosi);
mosi =0;
$dumpvars(1, data);
end

initial begin
#10000  //after this delay...
$finish; //end simulation
end

initial begin
#10
mosi = 1;
#10
spiclk = 1;
#10
spiclk = 0;
#10
spiclk = 1;
#10
spiclk = 0;
#10
spiclk = 1;
#10
cs = 1;
#10
spiclk = 0;
#10
spiclk = 1;
#10
spiclk = 0;
#10
spiclk = 1;
#10
spiclk = 0;
#10
spiclk = 1;
#10
spiclk = 0;
#10
spiclk = 1;
#10
spiclk = 0;
end
endmodule
Code: [Select]
$ cat spi.v
module spi(input spiclk, input cs, input mosi, output[15:0] data);
reg [15:0] data_shift;
initial begin
data_shift = 0;
end

always @(posedge spiclk) begin
if (cs) begin
  data_shift[15:0] <= {mosi,data_shift[15:1]};
  $display ("data_shift: %0d ",data_shift);
  end
end

assign data = data_shift;
endmodule
 

Offline johnh

  • Regular Contributor
  • *
  • Posts: 217
  • Country: au
Re: Looking for an editor / IDE that understands verilog
« Reply #1 on: November 28, 2020, 08:27:36 am »
 

Offline DiTBho

  • Super Contributor
  • ***
  • Posts: 4230
  • Country: gb
Re: Looking for an editor / IDE that understands verilog
« Reply #2 on: November 28, 2020, 09:29:29 am »
Sigasi is probably the best business solution for the HDL development things. It's a commercial application with a free-version that is *enough* useful even if it's a trial. The license is not perpetual, you have to pay for a month-per-seat  rather than for a annual license (20% off, but it's a lot of money), which may or may not be acceptable.

Slickedit is also a great commercial editor. There is a trial, but ...

As "opensource" (free = no money) alternative, you can also look at Eclipse + some plugins, or at VIm + Nerdtree (allows you to *browse* sources inside the editor) + some "Verilog-specific"-plugins
The opposite of courage is not cowardice, it is conformity. Even a dead fish can go with the flow
 

Offline RoGeorge

  • Super Contributor
  • ***
  • Posts: 6726
  • Country: ro
Re: Looking for an editor / IDE that understands verilog
« Reply #3 on: November 28, 2020, 11:00:46 am »
VS Code + Verilog plugins.  VS Code is now multi-platform, free and open source.  Not a fan of Microsoft myself, but this is probably the best IDE out there, IMO.

If you plan to later test the project in hardware, too, you may want to try the IDE/toolchain provided by your FPGA manufacturer.

Offline ale500

  • Frequent Contributor
  • **
  • Posts: 415
Re: Looking for an editor / IDE that understands verilog
« Reply #4 on: November 28, 2020, 11:42:11 am »
VS Code works well, but needs loads of memory. It has an integrated terminal, I love that.
Notepad++ works also very well, I like its column marking/copying/moving capabilities, they are a bit better than in VSCode (I use the Notepad++ keybindings in VSCode).
 

Offline cedric!Topic starter

  • Contributor
  • Posts: 35
  • Country: nl
 

Offline SiliconWizard

  • Super Contributor
  • ***
  • Posts: 15324
  • Country: fr
Re: Looking for an editor / IDE that understands verilog
« Reply #6 on: November 28, 2020, 05:21:49 pm »
If you're looking for a cross-platform editor, you can also have a look at Geany.
 


Share me

Digg  Facebook  SlashDot  Delicious  Technorati  Twitter  Google  Yahoo
Smf