Author Topic: New FPGAs from Renesas  (Read 38381 times)

0 Members and 1 Guest are viewing this topic.

Offline YurkshireLad

  • Frequent Contributor
  • **
  • Posts: 365
  • Country: ca
Re: New FPGAs from Renesas
« Reply #25 on: November 19, 2021, 06:10:40 pm »
The smallest iCE40 and MachXO2/3 from Lattice are good fits for similar applications. It's not like the products don't exist yet.

Good to know - there are so many out there it's hard to know what to look for.
 

Offline mon2

  • Frequent Contributor
  • **
  • Posts: 463
  • Country: ca
Re: New FPGAs from Renesas
« Reply #26 on: November 19, 2021, 06:22:53 pm »
ICE40UL1K is nice but requires via-in-pad PCB layout (HDI) to bond out every ball in the WLCSP package. This can be very expensive, real fast. To conserve on the I/O pins, the internal OTP must be used - much like the Renesas FPGA.

Renesas is stating their device will be QFN-24 which then allows to be friendly with JLCPCB specs and reduced costs.

Gowin / Efinix have internal flash to boot your custom firmware. Respectively, could be used for upgrades out in the field after the product ships.

It will be nice if Renesas documents how their kit deploys the SRAM spec for development purposes. The same method could be used in a similar fashion for unlimited upgrades.
 

Offline asmi

  • Super Contributor
  • ***
  • Posts: 2731
  • Country: ca
Re: New FPGAs from Renesas
« Reply #27 on: November 19, 2021, 07:07:19 pm »
The smallest iCE40 and MachXO2/3 from Lattice are good fits for similar applications. It's not like the products don't exist yet.
Not even close. Their packages options are TERRIBLE. I want something like 7x7 mm 64 ball BGA 0.8 mm pitch. There is nothing in their lineup of that kind of size - either you get much smaller part (with super-expensive HDI tech required to use them, no thank you), or you go for a ridiculous overkill of 256 ball version. There is also ICE40HX8K-BG121 in BGA-121 0.8 mm pitch package, but it's been out of stock everywhere I looked for a while. So no dice here either. Leaded packages are not good for me because they take too much space, and space on 6+ layer boards is expensive so I'd rather not waste it if it can be helped.

Right now I use STM32H723VGH6 MCUs (which is the gross overkill) because they were the only ones I was able to get my hands on at the time. Hopefully availability will improve soon, so that I will be able to use something more fitting for my needs, but for now I'm forced to use whatever I can manage to procure.

Offline mon2

  • Frequent Contributor
  • **
  • Posts: 463
  • Country: ca
Re: New FPGAs from Renesas
« Reply #28 on: November 19, 2021, 10:07:52 pm »
@asmi - feel your pain. As we are somewhat still new to the world of FPGA devices, there is a high risk to bed down with a single vendor. Often finding documentation issues (errors) as we dig deeper into the development cycle. Respectively we know that we will not get it right on the first try. HDI quotes are crazy high - for the WLCSP project, we had quotes of $1200-$1800 USD from China for 30 PCBs. Finally settled on one repeat PCB shop we have used with success who considered the HDI process as a norm and we paid ~ $600 for the HDI PCB lot. Much better but still quite high as compared to standard 4L pcb designs.

You may want to review the QFN packaged Gowin devices with the hard M3 CPU (about 4800 LUTS). Their package can be deployed with JLCPCB specs which will be a huge cost reduction. Contact Edge Electronics (USA) for the best pricing but have the goods shipped directly from Asia to you in Canada. We did this from their HK warehouse of Gowin to avoid the Trump tariffs on Chinese goods. For our pending consumer space widgets, the QFN package is the wisest choice for us.
 

Offline asmi

  • Super Contributor
  • ***
  • Posts: 2731
  • Country: ca
Re: New FPGAs from Renesas
« Reply #29 on: November 20, 2021, 01:31:00 am »
@asmi - feel your pain. As we are somewhat still new to the world of FPGA devices, there is a high risk to bed down with a single vendor.
Being vendor-independent is a noble goal, but unfortunately it's not very practical especially when you deal with larger devices (say 50K+ LUTs) because you will be forced to use device-specific primitives to utilize the full potential of these devices. I use large-ish Xilinx devices as my main processing chip because I've learnt them well over years, and because they provide the best development resources on the market, including tons of free IPs which others charge big money for.

However here we are talking about smaller secondary utility devices, requirements for them are not very high, so here it should not be a big problem to switch between device families and vendors.

Often finding documentation issues (errors) as we dig deeper into the development cycle. Respectively we know that we will not get it right on the first try.
That is another reason why I prefer to stick with devices I worked with in the past - I've already invested time and money into figuring these things out, at the very least I know that regardless of the specifics of a board, I can be certain that I would at least be able to connect to and program device, and it will come online. So this way I simply retire a lot of risks related with getting some of the basics of connecting FPGA right, and so I reduce area where I can screw things up to peripheral connections. And these risks can often be retired by building a prototype extension module for some of my FPGA devboards (I always try to design a devboard for every major FPGA I plan to use in such a way as to cover as much of a ground as possible for porential peripheral connections).

So basically, as counterintuitive as it sounds, sticking to devices you are familiar with saves money.

HDI quotes are crazy high - for the WLCSP project, we had quotes of $1200-$1800 USD from China for 30 PCBs. Finally settled on one repeat PCB shop we have used with success who considered the HDI process as a norm and we paid ~ $600 for the HDI PCB lot. Much better but still quite high as compared to standard 4L pcb designs.
Can you please share that fab? I have few ideas about few breakout-style boards for a few of WLCSP-class devices, so I'm curious what would it cost to have it implemented.

You may want to review the QFN packaged Gowin devices with the hard M3 CPU (about 4800 LUTS). Their package can be deployed with JLCPCB specs which will be a huge cost reduction. Contact Edge Electronics (USA) for the best pricing but have the goods shipped directly from Asia to you in Canada. We did this from their HK warehouse of Gowin to avoid the Trump tariffs on Chinese goods. For our pending consumer space widgets, the QFN package is the wisest choice for us.
Thanks for the advice - I will take a look. Like I said, I prefer working with reasonable-pitch BGAs because they are much more space-efficient, which both saves money on PCB manufacturing and makes my final devices more compact. So if they have something that would suit my requirements - that would be great. Because using $20/pop MCU where a $0.5 would do (if only they can be actually procured) feels very wrong to me, but sometimes you've gotta do what you've gotta do...
« Last Edit: November 20, 2021, 01:40:55 am by asmi »
 

Offline SiliconWizard

  • Super Contributor
  • ***
  • Posts: 14448
  • Country: fr
Re: New FPGAs from Renesas
« Reply #30 on: November 20, 2021, 01:40:31 am »
Yes, unfortunately Lattice parts either come in large packages or very-fine pitch ones.
There are some QFN packages, but the number of IOs may be too limited for a particular use (I think their largest QFN is 48 pins?) So of course all depends on your particular project... Now when you have nothing else available with similar features and price range, you may go for the ~200-pin, 0.8mm BGAs, even when that means using only 1/3 of the pins...
I'm sure curious to see when those new chips from Renesas will be available, and if they will be at the prices and packages that have been announced...
 

Offline mon2

  • Frequent Contributor
  • **
  • Posts: 463
  • Country: ca
Re: New FPGAs from Renesas
« Reply #31 on: November 20, 2021, 01:41:18 am »
Sure..for fair prices on HDI boards, contact Daphne. Her details are posted here:

https://www.eevblog.com/forum/manufacture/euna-vs-chinese-difference-between-servicesproducts/

For larger density FPGA devices, review the new Titanium line from Efinix. Contact Roger or Rochelle for more details. To be in stock in a few weeks at Digikey.
 
The following users thanked this post: asmi

Offline asmi

  • Super Contributor
  • ***
  • Posts: 2731
  • Country: ca
Re: New FPGAs from Renesas
« Reply #32 on: November 20, 2021, 01:45:06 am »
Yes, unfortunately Lattice parts either come in large packages or very-fine pitch ones.
There are some QFN packages, but the number of IOs may be too limited for a particular use (I think their largest QFN is 48 pins?) So of course all depends on your particular project... Now when you have nothing else available with similar features and price range, you may go for the ~200-pin, 0.8mm BGAs, even when that means using only 1/3 of the pins...
Underutilizing IO resources is one thing (and I'm not that worried about that), but they are also physically large, which kind of defeats the very purpose I do for BGAs in the first place (which is saving space).

I'm sure curious to see when those new chips from Renesas will be available, and if they will be at the prices and packages that have been announced...
So am I. I'm sure the prices they've quoted are going to be for bulk purchases, but even if they will be $5 a pop for singular quantities, that would still be a net win for my purposes.
« Last Edit: November 20, 2021, 01:48:00 am by asmi »
 

Offline SiliconWizard

  • Super Contributor
  • ***
  • Posts: 14448
  • Country: fr
Re: New FPGAs from Renesas
« Reply #33 on: November 20, 2021, 02:02:12 am »
Yes, unfortunately Lattice parts either come in large packages or very-fine pitch ones.
There are some QFN packages, but the number of IOs may be too limited for a particular use (I think their largest QFN is 48 pins?) So of course all depends on your particular project... Now when you have nothing else available with similar features and price range, you may go for the ~200-pin, 0.8mm BGAs, even when that means using only 1/3 of the pins...
Underutilizing IO resources is one thing (and I'm not that worried about that), but they are also physically large, which kind of defeats the very purpose I do for BGAs in the first place (which is saving space).

Certainly, those packages are 14x14 mm... But my point here is not about size, it's just that at the moment, if you need this kind of small FPGAs, at a low price point (a couple $) and with *low* power consumption, you don't really have many other options, that I know of anyway. Sure those new FPGAs may become a game changer, but until then, if you need low cost and low power, you may have to sacrifice on size... (Oh but if anyone knows of similar parts from other vendors, I'll gladly have a look.)
 

Offline ali_asadzadeh

  • Super Contributor
  • ***
  • Posts: 1902
  • Country: ca
Re: New FPGAs from Renesas
« Reply #34 on: November 21, 2021, 07:56:37 am »
Guys has anyone get these? any price info would be nice.
ASiDesigner, Stands for Application specific intelligent devices
I'm a Digital Expert from 8-bits to 64-bits
 

Online ataradovTopic starter

  • Super Contributor
  • ***
  • Posts: 11238
  • Country: us
    • Personal site
Re: New FPGAs from Renesas
« Reply #35 on: November 21, 2021, 08:56:09 am »
I doubt they will be available to general public for at least a year. I would not ge too excited.  And getting retail price in current conditions is even more problematic.
Alex
 

Online hans

  • Super Contributor
  • ***
  • Posts: 1637
  • Country: nl
Re: New FPGAs from Renesas
« Reply #36 on: November 27, 2021, 08:58:44 am »
Yes, unfortunately Lattice parts either come in large packages or very-fine pitch ones.
There are some QFN packages, but the number of IOs may be too limited for a particular use (I think their largest QFN is 48 pins?) So of course all depends on your particular project... Now when you have nothing else available with similar features and price range, you may go for the ~200-pin, 0.8mm BGAs, even when that means using only 1/3 of the pins...
I'm sure curious to see when those new chips from Renesas will be available, and if they will be at the prices and packages that have been announced...

Crosslink-NX has a 72QFN package. Comes as a 17K or 39K 4-input LUT FPGA, with additional large block RAM similar to ice40. The logic density gets it up there with some of the ECP5 stuff (I also believe similar architecture), but without the BGA stuff. Unfortuntely.. I think they're also out of stock.

Anyway, these small FPGAs also attract my attention. Lattice also has low quiescent power listed for their MachXO(2/3) series of FPGAs in freeze mode, especially on the low logic density ones. I'm actually looking at a new application that would benefit from an (nearly) always-on FPGA with some amount of logic (say 1-4K LUTs) to act as an experimental IOT design. However, for the larger Lattice chips, their quiescent current ramps to nearly 100uA.

20uA quiescent supply current at e.g 1 or 1.1V would do the job. But I'll have to see (or look up) if that also is a figure for only their smallest FPGAs (I believe their datasheet was inconclusive at best about it)
« Last Edit: November 27, 2021, 09:04:06 am by hans »
 

Offline EEVblog

  • Administrator
  • *****
  • Posts: 37732
  • Country: au
    • EEVblog
Re: New FPGAs from Renesas
« Reply #37 on: November 29, 2021, 11:54:21 am »
I doubt they will be available to general public for at least a year. I would not ge too excited.  And getting retail price in current conditions is even more problematic.

They got back to me about the dev kit.
They are rare as hens teeth and they could possibly loan me one for a short time if I really wanted it. But I said I'll wait until it's generally available otherwise there isn't much point doing a video on it on something no one can get.
 
The following users thanked this post: nctnico, gnuarm, ch_scr, SiliconWizard

Online nctnico

  • Super Contributor
  • ***
  • Posts: 26898
  • Country: nl
    • NCT Developments
Re: New FPGAs from Renesas
« Reply #38 on: November 29, 2021, 05:05:31 pm »
I doubt they will be available to general public for at least a year. I would not ge too excited.  And getting retail price in current conditions is even more problematic.

They got back to me about the dev kit.
They are rare as hens teeth and they could possibly loan me one for a short time if I really wanted it. But I said I'll wait until it's generally available otherwise there isn't much point doing a video on it on something no one can get.
A teaser which shows actual hardware would be nice
There are small lies, big lies and then there is what is on the screen of your oscilloscope.
 

Online ataradovTopic starter

  • Super Contributor
  • ***
  • Posts: 11238
  • Country: us
    • Personal site
Re: New FPGAs from Renesas
« Reply #39 on: November 29, 2021, 05:14:34 pm »
A teaser which shows actual hardware would be nice
It is just an IC in QFN-24 package. I doubt the kit would be too exciting. Probably just a simple breakout board with a couple voltage regulators and an LED.
Alex
 

Offline SiliconWizard

  • Super Contributor
  • ***
  • Posts: 14448
  • Country: fr
Re: New FPGAs from Renesas
« Reply #40 on: November 29, 2021, 05:42:31 pm »
A teaser which shows actual hardware would be nice
It is just an IC in QFN-24 package. I doubt the kit would be too exciting. Probably just a simple breakout board with a couple voltage regulators and an LED.

Indeed, and with such a package, you actually have Lattice products. So... (well, the MachXO2 is available in 32-pin QFN and ice40 as 48-pin QFN...)
One of the points of asmi was that anything with 64 pins or beyond was difficult to work with in Lattice products due to pitch. Apart from a cute kit indeed, there would be nothing much to see here.
 

Online ataradovTopic starter

  • Super Contributor
  • ***
  • Posts: 11238
  • Country: us
    • Personal site
Re: New FPGAs from Renesas
« Reply #41 on: November 29, 2021, 06:21:15 pm »
The only thing that makes me excited about this specific product is simplicity and ease of use. Unlike most FPGAs where dealing with the IDEs is the whole ordeal, this one is very simple and straightforward  to use.

If the price ends up being good, it may be just a good device for a bit of programmable logic.

But yes, it is not revolutionary in any way. And we'll have to see real performance.
Alex
 
The following users thanked this post: Someone

Offline SiliconWizard

  • Super Contributor
  • ***
  • Posts: 14448
  • Country: fr
Re: New FPGAs from Renesas
« Reply #42 on: November 29, 2021, 06:30:04 pm »
The only thing that makes me excited about this specific product is simplicity and ease of use. Unlike most FPGAs where dealing with the IDEs is the whole ordeal, this one is very simple and straightforward  to use.

I don't want to sound like a Lattice salesman - I'm absolutely not affiliated in any way - but both Lattice Diamond and Lattice Radiant (for some of the newer iCE40 and Certus parts) are very simple and straightforward to use. At least compared to Quartus or Vivado or...
 
The following users thanked this post: Bassman59

Online nctnico

  • Super Contributor
  • ***
  • Posts: 26898
  • Country: nl
    • NCT Developments
Re: New FPGAs from Renesas
« Reply #43 on: November 29, 2021, 06:41:51 pm »
A teaser which shows actual hardware would be nice
It is just an IC in QFN-24 package. I doubt the kit would be too exciting. Probably just a simple breakout board with a couple voltage regulators and an LED.
At least it shows the chip is real and not vapourware. And Dave could give the software a whirl. I hope VHDL support gets added quickly or maybe it already is.
There are small lies, big lies and then there is what is on the screen of your oscilloscope.
 

Online ataradovTopic starter

  • Super Contributor
  • ***
  • Posts: 11238
  • Country: us
    • Personal site
Re: New FPGAs from Renesas
« Reply #44 on: November 29, 2021, 06:59:44 pm »
I don't want to sound like a Lattice salesman
Not at all. I too like Diamond the most from all. It is still a node locked full blown IDE. And every year you have to ask them for the license. In this case "IDE" is more like Arduino compared to big IDEs for MCUs. It is bare-minimum that just works. And super fast synthesis times are also pretty cool. It is probably not something you want for a device with more than 1K LUTs though. So I don't know how it will scale.

Also, as I noted with yosys for ICE40, there is seemingly no way to specify any timing constraints. So, I'm not sure how that would work in practice. I'd be interested to try though.

At least it shows the chip is real and not vapourware. And Dave could give the software a whirl. I hope VHDL support gets added quickly or maybe it already is.
I would not wait for VHDL in this case.
Alex
 

Online nctnico

  • Super Contributor
  • ***
  • Posts: 26898
  • Country: nl
    • NCT Developments
Re: New FPGAs from Renesas
« Reply #45 on: November 29, 2021, 07:24:09 pm »
Without VHDL they'll miss a big chunk of the market. Gowin also added VHDL to their tools. I'm certainly not going to mess around with Verilog.
There are small lies, big lies and then there is what is on the screen of your oscilloscope.
 

Online ataradovTopic starter

  • Super Contributor
  • ***
  • Posts: 11238
  • Country: us
    • Personal site
Re: New FPGAs from Renesas
« Reply #46 on: November 29, 2021, 07:40:50 pm »
The devices are so small and have so little going for them that the language does not really matter. Some people will not use it out of principle or preference, but I don't think it will affect industry acceptance at all.
Alex
 

Offline asmi

  • Super Contributor
  • ***
  • Posts: 2731
  • Country: ca
Re: New FPGAs from Renesas
« Reply #47 on: November 29, 2021, 08:13:57 pm »
Without VHDL they'll miss a big chunk of the market. Gowin also added VHDL to their tools. I'm certainly not going to mess around with Verilog.
2.5 stubborn engineers are not a big chunk of a market.

Offline SiliconWizard

  • Super Contributor
  • ***
  • Posts: 14448
  • Country: fr
Re: New FPGAs from Renesas
« Reply #48 on: November 29, 2021, 09:51:14 pm »
As explained in other threads about yosys, VHDL has been supported for a while using ghdl-yosys-plugin. I don't know if their distribution of yosys includes it, but I would suspect it does. If not, it should be doable to add it yourself.
 

Online nctnico

  • Super Contributor
  • ***
  • Posts: 26898
  • Country: nl
    • NCT Developments
Re: New FPGAs from Renesas
« Reply #49 on: November 29, 2021, 10:22:02 pm »
The devices are so small and have so little going for them that the language does not really matter. Some people will not use it out of principle or preference, but I don't think it will affect industry acceptance at all.
See the Gowin example...  :palm: They have similar sized FPGAs and yet they did add VHDL. And why should I suddenly use a different language for a small device? It doesn't make sense. I'm not going to program in ASM because a microcontroller has 2k of flash memory and use C on a microcontroller which has more. It is not about principles but it is simply not efficient in terms of time spend on knowing several languages (or try to come up with something half baked in a language you barely know).

@SiliconWizard: That looks promising but it says the plugin is still experimental.
« Last Edit: November 29, 2021, 10:57:15 pm by nctnico »
There are small lies, big lies and then there is what is on the screen of your oscilloscope.
 


Share me

Digg  Facebook  SlashDot  Delicious  Technorati  Twitter  Google  Yahoo
Smf