Author Topic: Open-source software for Verilog synthesis  (Read 27889 times)

0 Members and 1 Guest are viewing this topic.

Offline tim

  • Newbie
  • Posts: 2
Re: Open-source software for Verilog synthesis
« Reply #25 on: October 15, 2013, 04:00:35 pm »
Oh, and by the way, yes, TimberWolf can be a pain to compile.  I'd rewrite the whole installation process if the thing weren't such a bloated beast.  If you have specific problems with the TimberWolf compile, just tell me what they are and I can probably get you through the compile stage.
 

Offline UnixonTopic starter

  • Frequent Contributor
  • **
  • Posts: 398
Re: Open-source software for Verilog synthesis
« Reply #26 on: January 09, 2014, 10:42:14 pm »
Thanks a lot, Clifford. I've been away from this forum for quite a long time due to total mess at day job and other activities usually occurring at the end of year. Currently I'm working on few microcontroller projects and specific tools for managing gerbers and EDA files, but I think I still can advance in small steps with respect to declared plans.

Tim, thank you for support, I will definitely need your help in dealing with these tools. I will see what is exactly wrong with that build as soon as I get near my workstation, probably next week.
 

Offline UnixonTopic starter

  • Frequent Contributor
  • **
  • Posts: 398
Re: Open-source software for Verilog synthesis
« Reply #27 on: January 09, 2014, 11:01:26 pm »
Quickly browsed through Clifford's papers about Yosys. Brilliant. This looks like something I've been missing so much while trying to wrap my head around Yosys workflow.
 


Share me

Digg  Facebook  SlashDot  Delicious  Technorati  Twitter  Google  Yahoo
Smf