Author Topic: How The @$#% Do You Program Atmel FPGAs?!? Anyone?!?  (Read 10611 times)

0 Members and 1 Guest are viewing this topic.

Offline MrAureliusRTopic starter

  • Supporter
  • ****
  • Posts: 373
  • Country: ca
How The @$#% Do You Program Atmel FPGAs?!? Anyone?!?
« on: November 28, 2013, 01:12:16 am »
I've been scouring the internet and posting on forums everywhere, and nobody seems to be able to answer me -- what software should I use to program an Atmel FPGA? Not to mention -- what cable? I can't seem to find any info anywhere! For Christ Sakes, they sent me an $85 FPGA as a free sample and now I can't do a damn thing with it!!

Anyone? Please? I downloaded this program from the Atmel website that seems like it's supposed to be their FPGA software, but a) it crashes every time I try and open it and b) there's basically no documentation for it...

If I'm way out in the woods here and completely missing something obvious, please, tear this post to shreds. I really want to know WTF is going on here.
--------------------------------------
Canadian hacker
 

Offline dannyf

  • Super Contributor
  • ***
  • Posts: 8221
  • Country: 00
Re: How The @$#% Do You Program Atmel FPGAs?!? Anyone?!?
« Reply #1 on: November 28, 2013, 01:19:11 am »
would calling Atmel help?
================================
https://dannyelectronics.wordpress.com/
 

Offline Psi

  • Super Contributor
  • ***
  • Posts: 9930
  • Country: nz
Re: How The @$#% Do You Program Atmel FPGAs?!? Anyone?!?
« Reply #2 on: November 28, 2013, 01:33:16 am »
would giving us the chip number help?
Greek letter 'Psi' (not Pounds per Square Inch)
 

Offline EEVblog

  • Administrator
  • *****
  • Posts: 37730
  • Country: au
    • EEVblog
Re: How The @$#% Do You Program Atmel FPGAs?!? Anyone?!?
« Reply #3 on: November 28, 2013, 01:38:11 am »
Is it just the chip, or an eval board?
I have not used Atmel FPGA's, but presumably it's JTAG like every other FPGA?
So presumably this programmer?
http://www.atmel.com/tools/AVRJTAGICEMKII.aspx
 

Offline EEVblog

  • Administrator
  • *****
  • Posts: 37730
  • Country: au
    • EEVblog
Re: How The @$#% Do You Program Atmel FPGAs?!? Anyone?!?
« Reply #4 on: November 28, 2013, 01:41:38 am »
Just had a quick look on the Atmel website for their FPGA stuff, and well, you weren't kidding...
There is nothing under the tools tab for any of the devices
http://www.atmel.com/products/other/field_programmable_gate_array/default.aspx
 :--
 

Offline c4757p

  • Super Contributor
  • ***
  • Posts: 7799
  • Country: us
  • adieu
Re: How The @$#% Do You Program Atmel FPGAs?!? Anyone?!?
« Reply #5 on: November 28, 2013, 01:44:27 am »
Yes there is, you have to go down to an individual device to see it.

AT40KAL -> AT40K05AL -> Tools yields this link.
No longer active here - try the IRC channel if you just can't be without me :)
 

Offline MrAureliusRTopic starter

  • Supporter
  • ****
  • Posts: 373
  • Country: ca
Re: How The @$#% Do You Program Atmel FPGAs?!? Anyone?!?
« Reply #6 on: November 28, 2013, 02:19:25 am »
Yes there is, you have to go down to an individual device to see it.

AT40KAL -> AT40K05AL -> Tools yields this link.

Yeah, that's the program I mentioned in another thread. It doesn't work!! It just crashes over and over again. It's crazy, even that page has almost no information. Does it do synthesis, or just place and route? Etc etc.

And yeah, I have the AT40K40AL 3.3V.

Is it just the chip, or an eval board?
I have not used Atmel FPGA's, but presumably it's JTAG like every other FPGA?
So presumably this programmer?
http://www.atmel.com/tools/AVRJTAGICEMKII.aspx

Again, I don't think so... I'm pretty sure the JTAGICE just does the AVR Mega and XMegas. I have the AVR Dragon and it does JTAG as well, but only for the micros. I just think it's very strange how little information there is about their FPGAs. I can't even find anything about the Metallized Gate Arrays Jeri was talking about on Amp Hour. Maybe I should ask her, lol...
« Last Edit: November 28, 2013, 02:22:05 am by MrAureliusR »
--------------------------------------
Canadian hacker
 

Offline marshallh

  • Supporter
  • ****
  • Posts: 1462
  • Country: us
    • retroactive
Re: How The @$#% Do You Program Atmel FPGAs?!? Anyone?!?
« Reply #7 on: November 28, 2013, 02:33:59 am »
See my post in your other thread. Accept its status as a paperweight and get on with things
Verilog tips
BGA soldering intro

11:37 <@ktemkin> c4757p: marshall has transcended communications media
11:37 <@ktemkin> He speaks protocols directly.
 

Offline MrAureliusRTopic starter

  • Supporter
  • ****
  • Posts: 373
  • Country: ca
Re: How The @$#% Do You Program Atmel FPGAs?!? Anyone?!?
« Reply #8 on: November 28, 2013, 02:35:23 am »
See my post in your other thread. Accept its status as a paperweight and get on with things

Yeah, I don't tend to give up that easily. And actually, it's not becoming obsolete, it's only the non-lead-free version they're discontinuing. That's the one that's been assigned "not recommended for new designs". However, the ROHS-approved one (the one I have) has no such label, and is still being fully supported and recommended for designs (apparently).
--------------------------------------
Canadian hacker
 

Offline dannyf

  • Super Contributor
  • ***
  • Posts: 8221
  • Country: 00
Re: How The @$#% Do You Program Atmel FPGAs?!? Anyone?!?
« Reply #9 on: November 28, 2013, 03:37:38 am »
The software was released in 2005/2006. What if you try to install it on the prevailing OS then?
================================
https://dannyelectronics.wordpress.com/
 

Offline free_electron

  • Super Contributor
  • ***
  • Posts: 8517
  • Country: us
    • SiliconValleyGarage
Re: How The @$#% Do You Program Atmel FPGAs?!? Anyone?!?
« Reply #10 on: November 28, 2013, 05:10:38 am »
that is the problem with the chips from Atmel , Actel , Lattice and to some extent Xilinx

the tools are half-arsed or non existent , crazy contraptions that are not maintained , or plainly unusable unless you spring for the paying version.
download cables are hard to find.

i've been playing with FPGA and CPLD since the late 80's. i've seen and used almost any tool out there
 viewdra, cupl, palasm,PLDworks (intel) , PEEL, ABel, Ise , MAxplus , quartus , you name it , i've used it.
i've thrown all of em away except quartus , and even with that one i limit myself to 10.something because of the on board simulator. i refuse to use modelsim.
Professional Electron Wrangler.
Any comments, or points of view expressed, are my own and not endorsed , induced or compensated by my employer(s).
 

Offline motocoder

  • Supporter
  • ****
  • Posts: 769
  • Country: us
  • Electrical Engineer
Re: How The @$#% Do You Program Atmel FPGAs?!? Anyone?!?
« Reply #11 on: November 28, 2013, 06:17:31 am »
i've been playing with FPGA and CPLD since the late 80's. i've seen and used almost any tool out there
 viewdra, cupl, palasm,PLDworks (intel) , PEEL, ABel, Ise , MAxplus , quartus , you name it , i've used it.
i've thrown all of em away except quartus , and even with that one i limit myself to 10.something because of the on board simulator. i refuse to use modelsim.

I'm going through the process of learning how to develop for FPGAs right now for a new project at work. We are using Quartus 13.x and ModelSim PE. Not having any experience on anything else, I am curious as to why you say you won't use ModelSim?
 

Offline mrflibble

  • Super Contributor
  • ***
  • Posts: 2051
  • Country: nl
Re: How The @$#% Do You Program Atmel FPGAs?!? Anyone?!?
« Reply #12 on: November 28, 2013, 06:28:23 am »
i refuse to use modelsim.

Why no modelsim? Out of all the tools you list, that one is actually pretty decent. :P One might almost call it a good tool. Can't say that for the average fpga vendor provided tool, that much I will readily agree to.
« Last Edit: November 28, 2013, 06:40:29 am by mrflibble »
 

Offline senso

  • Frequent Contributor
  • **
  • Posts: 951
  • Country: pt
    • My AVR tutorials
Re: How The @$#% Do You Program Atmel FPGAs?!? Anyone?!?
« Reply #13 on: November 28, 2013, 08:12:20 am »
32 bits software in 64 bits OS, that can sometimes work, maybe, when the moon is in the right spot...
Have you tried compatibility mode, running as admin, all the usual tricks for older software?
The production/compile code should be somewhere in the installer/docs, it a super secret..

And.....

Do your research before asking for samples, not after..
 

Offline mrflibble

  • Super Contributor
  • ***
  • Posts: 2051
  • Country: nl
Re: How The @$#% Do You Program Atmel FPGAs?!? Anyone?!?
« Reply #14 on: November 28, 2013, 09:17:41 am »
Do your research before asking for samples, not after..

What, you mean like don't request samples of super outdated crap that was not all that great even when it was current? Better get something recent from xilinx/altera/lattice IMO. On the low cost + pretty nifty side of things you could check this out:

http://www.digikey.com/catalog/en/partgroup/icestick-evaluation-board/40913

Only $25, and  .... tools are freely available. And even supports SystemVerilog for synthesis for those cheapie devices. Or get a spartan-6 board. Or maybe something from Altera... What would be a spartan-6 equivalent with decent price/performance. As in what Altera board would be a good fit?
 

Online Monkeh

  • Super Contributor
  • ***
  • Posts: 7992
  • Country: gb
Re: How The @$#% Do You Program Atmel FPGAs?!? Anyone?!?
« Reply #15 on: November 28, 2013, 10:13:34 am »
32 bits software in 64 bits OS, that can sometimes work, maybe, when the moon is in the right spot...

Virtually all Windows software, including the majority of binaries shipped with the OS, is 32-bit. There is no problem running 32-bit software on a 64-bit OS.
 

Offline Psi

  • Super Contributor
  • ***
  • Posts: 9930
  • Country: nz
Re: How The @$#% Do You Program Atmel FPGAs?!? Anyone?!?
« Reply #16 on: November 28, 2013, 10:22:19 am »
It's the drivers on a 64bit system that have to be 64bit.
That's where the problems appear.
Greek letter 'Psi' (not Pounds per Square Inch)
 

Offline MrAureliusRTopic starter

  • Supporter
  • ****
  • Posts: 373
  • Country: ca
Re: How The @$#% Do You Program Atmel FPGAs?!? Anyone?!?
« Reply #17 on: November 28, 2013, 12:53:41 pm »
Yes I tried all the usual tricks. Yes you're all correct, I should have done my research first. Anyway. Turning it into an expensive piece of jewelry I suppose.

So I tapped out and bought this: http://www.ebay.ca/itm/251192878359?ssPageName=STRK:MEWNX:IT&_trksid=p3984.m1439.l2649

And already I'm sorta thinking I should have gotten the Altera version first. Oh well.
--------------------------------------
Canadian hacker
 

Offline Crazy Ape

  • Regular Contributor
  • *
  • Posts: 181
Re: How The @$#% Do You Program Atmel FPGAs?!? Anyone?!?
« Reply #18 on: November 28, 2013, 01:52:22 pm »
Yes I tried all the usual tricks. Yes you're all correct, I should have done my research first. Anyway. Turning it into an expensive piece of jewelry I suppose.

So I tapped out and bought this: http://www.ebay.ca/itm/251192878359?ssPageName=STRK:MEWNX:IT&_trksid=p3984.m1439.l2649

And already I'm sorta thinking I should have gotten the Altera version first. Oh well.

You'll be able to do similar things with either Altera or Xilinx so it really doesn't matter which you choose as your first FPGA.

You'll need a Xilinx JTAG programmer if you want to program it directly from the Xilinx software. I've never bothered with it as I have a perfectly good USB blaster (Altera JTAG programmer) that does an excellent job via UrJTAG.
 

Offline c4757p

  • Super Contributor
  • ***
  • Posts: 7799
  • Country: us
  • adieu
Re: How The @$#% Do You Program Atmel FPGAs?!? Anyone?!?
« Reply #19 on: November 28, 2013, 02:01:57 pm »
You'll need a Xilinx JTAG programmer if you want to program it directly from the Xilinx software. I've never bothered with it as I have a perfectly good USB blaster (Altera JTAG programmer) that does an excellent job via UrJTAG.

OT, but thanks for that! I also just have an Altera USB-Blaster and that looks very handy. I didn't even think to check whether some program could use it with other chips. Their list of supported chips is a bit slim, but it still looks useful.
No longer active here - try the IRC channel if you just can't be without me :)
 

Offline Crazy Ape

  • Regular Contributor
  • *
  • Posts: 181
Re: How The @$#% Do You Program Atmel FPGAs?!? Anyone?!?
« Reply #20 on: November 28, 2013, 02:21:46 pm »
You'll need a Xilinx JTAG programmer if you want to program it directly from the Xilinx software. I've never bothered with it as I have a perfectly good USB blaster (Altera JTAG programmer) that does an excellent job via UrJTAG.

OT, but thanks for that! I also just have an Altera USB-Blaster and that looks very handy. I didn't even think to check whether some program could use it with other chips. Their list of supported chips is a bit slim, but it still looks useful.

The thread went OT once Atmel was out of the equation. Though I'll make a new thread re non-vendor JTAG usage as it's useful to know.
 - Done, though a bit short. It's enough to start discussion.
https://www.eevblog.com/forum/microcontrollers/jtag-is-jtag-is-jtag/
« Last Edit: November 28, 2013, 03:10:10 pm by Crazy Ape »
 


Share me

Digg  Facebook  SlashDot  Delicious  Technorati  Twitter  Google  Yahoo
Smf