Author Topic: How to control 12 seven segment digits  (Read 10944 times)

0 Members and 1 Guest are viewing this topic.

Offline ralphd

  • Frequent Contributor
  • **
  • Posts: 445
  • Country: ca
    • Nerd Ralph
Re: How to control 12 seven segment digits
« Reply #25 on: March 07, 2015, 03:16:47 am »
Quote
220 Ohm resistors on the common segment

Or go naked (without the current limiting resistors) if you don't mind current consumption.

Modern LEDs are sufficiently bright above 1ma, so much so that your eyes can barely see any difference between 1ma and 10ma.

I wouldn't do that without checking the output drive characteristics first.  If the output drive is ~25 Ohm, a bug in the program that leaves a segment on would put 120mA through pin rated for 15mA, possibly burning it out.
At least for testing I'd use the current limiting resistors.
Another option would be enabling brown-out reset on the MCU if it supports it.  An LED left on would cause Vcc to drop, and trigger brown-out reset.
Unthinking respect for authority is the greatest enemy of truth. Einstein
 


Share me

Digg  Facebook  SlashDot  Delicious  Technorati  Twitter  Google  Yahoo
Smf