Author Topic: How to understand -edge option if first edge of generated clock is falling edge?  (Read 821 times)

0 Members and 1 Guest are viewing this topic.

Offline tip.can19Topic starter

  • Contributor
  • Posts: 10
  • Country: ca
  • Researcher
I am trying to understand the waveform created by create_generated_clock with -edge option.

Suppose I have master clock as create_clock 2 [get_ports DCLK] like PFA - master_clock.png

I do create_generated_clock -name G3CLK -edges {5 7 10} -source DCLK [get_pins UAND0/Z]

Assuming needed is first edge of generated clock is falling edge, it is inferred at 1ns (or 2) automatically and why not at 3? Why would that be? PFA generated_clock.png

Thanks


Thanks
Tip
 

Offline tip.can19Topic starter

  • Contributor
  • Posts: 10
  • Country: ca
  • Researcher
i think i misunderstand the diagram with edges. I suppose the 11th edge is nothing but first edge, so from 10 to 11th edge is equal to high period (1 step/1ns) and then 1 to 2nd edge (1ns) as another step which makes positve 2ns, so the fall is at edge 2 and not 3. I hope this understanding is correct.
Thanks
Tip
 


Share me

Digg  Facebook  SlashDot  Delicious  Technorati  Twitter  Google  Yahoo
Smf