Author Topic: Which CPLD vendor to choose today?  (Read 23198 times)

0 Members and 1 Guest are viewing this topic.

Offline LauraTopic starter

  • Contributor
  • Posts: 18
  • Country: us
Which CPLD vendor to choose today?
« on: April 05, 2015, 10:45:32 pm »
At this point in time, which CPLD family would be the best choice for personal one-off projects? I need 5 volt compatibility. The current project could use a RAM configured device, but my next project will need instant on operation.

Some background: At work in the early '80's I burned two or three PALs. Then in the early '90's I used an Altera CPLD - probably a 7064. About 15 years ago I bought a Windows 98 laptop and downloaded the free Xilinx software. The intent was to use a 5 volt 9500 series CPLD in a personal project. I ended up not doing anything because there didn't seem to be enough documentation.

Xilinx is no longer proud of their CPLD offerings. The 5 volt devices seem to only be available as new-old-stock. The current CoolRunner II family doesn't have 5 volt compatible inputs. The 9500XL devices could work for me though.

Altera looks to be close to end-of-life of their 7000 and 3000 CPLDs. I had to dig around their site to find any mention of them. Like the big X, they seem focused on large FPGAs.

Lattice though lists their 4000 series devices right with all their other offerings. I've found at least one source to get the chips cheaply. Lattice seems to be the underdog that's claiming the low end of the market.

So - would Lattice be a smart choice? I notice their free software for CPLDs seems to be Windows only. I've been a Mac person since 1984, so I'll have to put together another Windows machine to run it.

FYI, the current project absolutely needs 26 macrocells, and I've found uses for the remaining cells of a 32 cell device. The next project will need a device one size bigger.
 

Offline TiN

  • Super Contributor
  • ***
  • Posts: 4543
  • Country: ua
    • xDevs.com
Re: Which CPLD vendor to choose today?
« Reply #1 on: April 05, 2015, 11:06:06 pm »
MAX II from Altera still does support 5V for most of modes.
YouTube | Metrology IRC Chat room | Let's share T&M documentation? Upload! No upload limits for firmwares, photos, files.
 

Offline mikeselectricstuff

  • Super Contributor
  • ***
  • Posts: 13695
  • Country: gb
    • Mike's Electric Stuff
Re: Which CPLD vendor to choose today?
« Reply #2 on: April 05, 2015, 11:16:50 pm »
Lattice 4000 has 5v input tolerance. Pretty cheap, and a few package options. Just designing one in now as it happens.

Uses the old "ispLever classic" SW which works OK - you need a new (free) license every 6 or 12 months. A minor irritation but it's auto-generated and emailed in a minute or two so no big deal.
A fairly big package, mucg hof which is FPGA stuff you don't need.

I don't think anyone does (or is ever likely to do) FPGA/PLD SW on a Mac.
 I'm sure there are solutions via the various ways people run Win on macs.
Youtube channel:Taking wierd stuff apart. Very apart.
Mike's Electric Stuff: High voltage, vintage electronics etc.
Day Job: Mostly LEDs
 

Offline LauraTopic starter

  • Contributor
  • Posts: 18
  • Country: us
Re: Which CPLD vendor to choose today?
« Reply #3 on: April 05, 2015, 11:24:50 pm »
MAX II from Altera still does support 5V for most of modes.

They seem to be much bigger devices than I need. The smallest device (EPM240) has 240 logic elements (claimed equivalency of 192 macro cells) in a 100 pin TQFP package.
 

Offline LauraTopic starter

  • Contributor
  • Posts: 18
  • Country: us
Re: Which CPLD vendor to choose today?
« Reply #4 on: April 05, 2015, 11:36:37 pm »
Lattice 4000 has 5v input tolerance.

That's the part I've been eyeing - the 4000V version.

I don't think anyone does (or is ever likely to do) FPGA/PLD SW on a Mac.
 I'm sure there are solutions via the various ways people run Win on macs.

I've seen mention that some suites have been released for Linux - though not supporting the 4000 series. My preference for running different OS's is to set up separate computers instead of running virtual machines or having alternate boot disks.
 

Offline mikeselectricstuff

  • Super Contributor
  • ***
  • Posts: 13695
  • Country: gb
    • Mike's Electric Stuff
Re: Which CPLD vendor to choose today?
« Reply #5 on: April 05, 2015, 11:53:44 pm »
On the subject of Lattice, I just got one of these cheap clone programmers,
http://www.ebay.co.uk/itm/251686578853

Works better than the genuine Lattice one I had, which always needed bodge caps on TCK to work reliably.

Another thing I like about Lattice is their SlimVME stuff - source code which you can add to your MCU to program CPLDs and FPGAs using files generated by their ISPVM software. Really easy to use - just provide stubs to control the IO pins and a 1mS delay.


Youtube channel:Taking wierd stuff apart. Very apart.
Mike's Electric Stuff: High voltage, vintage electronics etc.
Day Job: Mostly LEDs
 

Offline nctnico

  • Super Contributor
  • ***
  • Posts: 26755
  • Country: nl
    • NCT Developments
Re: Which CPLD vendor to choose today?
« Reply #6 on: April 06, 2015, 03:33:10 am »
My default is to use Xilinx' XC9500XL series and start in a PLCC package. Together with a socket you can even use these on vero board if you like.
I use ISE + VHDL to create the bit files. The standard Xilinx programmer you can find on Ebay (for example: http://www.ebay.com/itm/Xilinx-Platform-USB-Download-Cable-Jtag-Programmer-for-FPGA-CPLD-C-Mod-XC2C64A-/390809652326?pt=LH_DefaultDomain_0&hash=item5afe120c66) will do fine to program the CPLD's internal flash.
There are small lies, big lies and then there is what is on the screen of your oscilloscope.
 

Offline Harrkev

  • Contributor
  • Posts: 38
  • Country: us
  • ASIC Design Engineer
Re: Which CPLD vendor to choose today?
« Reply #7 on: April 06, 2015, 07:54:54 pm »
My default is to use Xilinx' XC9500XL series and start in a PLCC package. Together with a socket you can even use these on vero board if you like.
I use ISE + VHDL to create the bit files. The standard Xilinx programmer you can find on Ebay (for example: http://www.ebay.com/itm/Xilinx-Platform-USB-Download-Cable-Jtag-Programmer-for-FPGA-CPLD-C-Mod-XC2C64A-/390809652326?pt=LH_DefaultDomain_0&hash=item5afe120c66) will do fine to program the CPLD's internal flash.
I have some similar needs.  The Xilinx stuff if 5V "tolerant," but I would be hesitant to drive 5V with a 3.3V output.
 

Offline nctnico

  • Super Contributor
  • ***
  • Posts: 26755
  • Country: nl
    • NCT Developments
Re: Which CPLD vendor to choose today?
« Reply #8 on: April 06, 2015, 08:00:49 pm »
Check the specs of the 5V logic you are trying to drive. In the TTL world Vih is 1.4V so 3.3V more than satisfies that requirement. Some CMOS logic may be on the edge of not working. Running the CPLD from a slightly higher voltage (3.6V for example) may just be enough to make the difference. Otherwise a simple 74HCT buffer does the trick as well.
There are small lies, big lies and then there is what is on the screen of your oscilloscope.
 

Offline JohnnyBerg

  • Frequent Contributor
  • **
  • Posts: 474
  • Country: de
Re: Which CPLD vendor to choose today?
« Reply #9 on: April 06, 2015, 08:20:26 pm »
@nctnico: what device would you suggest to start with? I see the XC9536XL-10VQG44C (Digikey $1.46) ?

What about the learning curve of ISE + VHDL?

 

Offline LauraTopic starter

  • Contributor
  • Posts: 18
  • Country: us
Re: Which CPLD vendor to choose today?
« Reply #10 on: April 06, 2015, 08:30:41 pm »
Check the specs of the 5V logic you are trying to drive. In the TTL world Vih is 1.4V so 3.3V more than satisfies that requirement. Some CMOS logic may be on the edge of not working.

In my case, one side of the CPLD will connect to an FTDI FT232H (i.e. 3v3 signaling), the other side to ~30 year old embedded systems. Early ones are NMOS, while later ones are CMOS. I strongly suspect the CMOS versions use TTL level signaling. I can't check the specs though because it is all proprietary custom silicon.

Otherwise a simple 74HCT buffer does the trick as well.

All my connections to the embedded system need to be bidirectional. I'd have to use a 74LVCH16T245 or similar. This is why I want a 5 volt compatible device.
 

Offline ralphrmartin

  • Frequent Contributor
  • **
  • Posts: 479
  • Country: gb
    • Me
Re: Which CPLD vendor to choose today?
« Reply #11 on: April 06, 2015, 08:31:10 pm »
An alternative might be a PSoC 4 or PSoC 5LP device from Cypress. They have some very cheap development boards.
 

Offline mikeselectricstuff

  • Super Contributor
  • ***
  • Posts: 13695
  • Country: gb
    • Mike's Electric Stuff
Re: Which CPLD vendor to choose today?
« Reply #12 on: April 06, 2015, 08:33:34 pm »
If you want 5v in & out, it seems Atlem;s ATF1500 and ATF2500 ar still available.
But probably cheaper to use level-shifters and a 3v3 part
Youtube channel:Taking wierd stuff apart. Very apart.
Mike's Electric Stuff: High voltage, vintage electronics etc.
Day Job: Mostly LEDs
 

Offline janoc

  • Super Contributor
  • ***
  • Posts: 3781
  • Country: de
Re: Which CPLD vendor to choose today?
« Reply #13 on: April 06, 2015, 09:43:44 pm »
What about the learning curve of ISE + VHDL?

About same as the comparable Altera/Lattice tools. They also use VHDL and Verilog and the IDE sucks about the same too.

 

Offline JohnnyBerg

  • Frequent Contributor
  • **
  • Posts: 474
  • Country: de
Re: Which CPLD vendor to choose today?
« Reply #14 on: April 06, 2015, 09:58:19 pm »
They also use VHDL and Verilog and the IDE sucks about the same too.

Arghh ..  >:(
 

Offline nctnico

  • Super Contributor
  • ***
  • Posts: 26755
  • Country: nl
    • NCT Developments
Re: Which CPLD vendor to choose today?
« Reply #15 on: April 06, 2015, 10:09:21 pm »
@nctnico: what device would you suggest to start with? I see the XC9536XL-10VQG44C (Digikey $1.46) ?

What about the learning curve of ISE + VHDL?
That depends on your background. If you have to start from scratch then you'll have a lot to learn. IMHO CPLDs are easier to understand than FPGAs because they have much less logic and timing behaviour depends much less on the amount of logic. With the XC9536XL you can easely look at each resulting output equation to see the 'end result' of your VHDL code.
There are small lies, big lies and then there is what is on the screen of your oscilloscope.
 

Offline mikeselectricstuff

  • Super Contributor
  • ***
  • Posts: 13695
  • Country: gb
    • Mike's Electric Stuff
Re: Which CPLD vendor to choose today?
« Reply #16 on: April 06, 2015, 10:11:33 pm »
What about the learning curve of ISE + VHDL?

About same as the comparable Altera/Lattice tools. They also use VHDL and Verilog and the IDE sucks about the same too.
Learning curve of the IDE is pretty minimal, it's more about knowing HDL, and the limits & capabilities of the device you're targetting. If you've used one FPGA/CPLD toolchain, others will be pretty familiar, especially as some parts are actually the same code.
 
Youtube channel:Taking wierd stuff apart. Very apart.
Mike's Electric Stuff: High voltage, vintage electronics etc.
Day Job: Mostly LEDs
 

Offline Muxr

  • Super Contributor
  • ***
  • Posts: 1369
  • Country: us
Re: Which CPLD vendor to choose today?
« Reply #17 on: April 07, 2015, 02:09:11 am »
@mikeselectricstuff fan of your videos and thanks to them.. I've also decided to go with Lattice as my first foray into CPLD design.

Most of the stuff I am looking to do is on the small chip side and Lattice have about the best sub $20 selection of chips, with some nifty features, easily obtained from mouser.

I picked up one of those bare bone MachX02 boards, was also wondering if you had any experience or thoughts about the FleaFPGA board for little more utility for experimentation? (http://www.fleasystems.com/fleaFPGA.html)
« Last Edit: April 07, 2015, 02:11:25 am by Muxr »
 

Offline mikeselectricstuff

  • Super Contributor
  • ***
  • Posts: 13695
  • Country: gb
    • Mike's Electric Stuff
Re: Which CPLD vendor to choose today?
« Reply #18 on: April 07, 2015, 08:43:01 am »
@mikeselectricstuff fan of your videos and thanks to them.. I've also decided to go with Lattice as my first foray into CPLD design.

Most of the stuff I am looking to do is on the small chip side and Lattice have about the best sub $20 selection of chips, with some nifty features, easily obtained from mouser.

I picked up one of those bare bone MachX02 boards, was also wondering if you had any experience or thoughts about the FleaFPGA board for little more utility for experimentation? (http://www.fleasystems.com/fleaFPGA.html)
There are many FPGA boards out there, though less for Lattice than Altera & Xilinx - pick whichever has the best fit of add-ons for what you want to do.

I think Lattice do a cheap board for the 4000 series.
Quote
That depends on your background. If you have to start from scratch then you'll have a lot to learn. IMHO CPLDs are easier to understand than FPGAs because they have much less logic and timing behaviour depends much less on the amount of logic. With the XC9536XL you can easely look at each resulting output equation to see the 'end result' of your VHDL code.
Maybe in terms of understanding the device, but in terms of learning the process of getting into CPLD/FPGAs, starting with a medium-sized  FPGA means not having to worry about device limitations until you get to really big or fast designs.
A CPLD has significant limitations that mean you usually have to have some understanding of the device to get anything nontrivial to fit, or tweak things so they fit when you run out of resources.
With an FPGA you  write the code and it will fit. Using a fairly big device means the place/route doesn't have to struggle, so runs fairly fast.
For a learning exercise, my advice would start with a reasonable sized  FPGA on a devboard, then look at CPLDs & smaller FPGAs when you need to save cost and/or package size as you get towards a real design or product.
 


Youtube channel:Taking wierd stuff apart. Very apart.
Mike's Electric Stuff: High voltage, vintage electronics etc.
Day Job: Mostly LEDs
 

Online bingo600

  • Super Contributor
  • ***
  • Posts: 1977
  • Country: dk
Re: Which CPLD vendor to choose today?
« Reply #19 on: April 08, 2015, 08:25:30 pm »
MAX II from Altera still does support 5V for most of modes.

They seem to be much bigger devices than I need. The smallest device (EPM240) has 240 logic elements (claimed equivalency of 192 macro cells) in a 100 pin TQFP package.

Ehh ??

Were you just looking at boars on *bay ?

The Altera max also comes in 32 & 64 MC's

/Bingo
 

Offline JoeN

  • Frequent Contributor
  • **
  • Posts: 991
  • Country: us
  • We Buy Trannies By The Truckload
Re: Which CPLD vendor to choose today?
« Reply #20 on: April 09, 2015, 04:13:28 am »
I've used EPM240s in some of my projects.  I get what you are saying about them being bigger devices, but you can get them dirt cheap on eBay so who cares if you leave 2/3rds of the device unused.  At least for one off hobby stuff:

http://www.ebay.com/itm/10PCS-IC-ALTERA-TQFP-100-EPM240T100C5N-EPM240T100C5-/400572822121
http://www.ebay.com/itm/5PCS-IC-EPM240T100C5N-TQFP100-ALTERA-NEW-GOOD-QUALITY-/300746279397

I have no idea how they can sell them this cheap.

They do work, just fine, in fact.
Have You Been Triggered Today?
 

Offline LauraTopic starter

  • Contributor
  • Posts: 18
  • Country: us
Re: Which CPLD vendor to choose today?
« Reply #21 on: April 09, 2015, 07:48:47 am »
MAX II from Altera still does support 5V for most of modes.

They seem to be much bigger devices than I need. The smallest device (EPM240) has 240 logic elements (claimed equivalency of 192 macro cells) in a 100 pin TQFP package.

Ehh ??

Were you just looking at boars on *bay ?

The Altera max also comes in 32 & 64 MC's

I was looking at Altera's website. The Max ---> II <--- series didn't list anything smaller. The Max (no suffix) certainly has 32 and 64 MC devices. However that generation does not have a web page - one has to burrow into the documentation area to find any mention of them.
 

Offline JoeN

  • Frequent Contributor
  • **
  • Posts: 991
  • Country: us
  • We Buy Trannies By The Truckload
Re: Which CPLD vendor to choose today?
« Reply #22 on: April 10, 2015, 08:14:03 am »
Max V have the smaller devices.

As near as I can tell, the Max II and Max V have the same support for 5V IOs which is it doesn't support 5V unless you provide an external resistor and clamp diode.

https://www.altera.com/en_US/pdfs/literature/hb/max2/max2_mii51009.pdf
https://www.altera.com/en_US/pdfs/literature/hb/max-v/mv51005.pdf

Max V's are pretty reasonable for these smaller devices

http://www.digikey.com/product-detail/en/5M80ZE64C5N/544-2715-ND/2499438 
http://www.digikey.com/product-detail/en/5M40ZE64C5N/544-2717-ND/2499440

I bought a few of these about a year ago and they program just as easily as the Max II.  There appears to me to be very few differences between the Max II and Max V, actually.
« Last Edit: April 10, 2015, 08:24:10 am by JoeN »
Have You Been Triggered Today?
 

Offline janoc

  • Super Contributor
  • ***
  • Posts: 3781
  • Country: de
Re: Which CPLD vendor to choose today?
« Reply #23 on: April 10, 2015, 08:27:55 pm »
There are many FPGA boards out there, though less for Lattice than Altera & Xilinx - pick whichever has the best fit of add-ons for what you want to do.


I have made a good experience with the Papilio range from Gadget Factory:
http://papilio.cc/index.php?n=Papilio.Hardware

They are Xilinx Spartan based, but the main thing is that there are some "shields" or how they call them "wings". I have the LogicStart Megawing which goes with a free book teaching you the basics:

http://papilio.cc/index.php?n=Papilio.LogicStartMegaWing
https://github.com/hamsternz/IntroToSpartanFPGABook/blob/master/IntroToSpartanFPGABook.pdf?raw=true

I have found that a rather good resource to get me started with VHDL and ISE.


 

Offline JoeN

  • Frequent Contributor
  • **
  • Posts: 991
  • Country: us
  • We Buy Trannies By The Truckload
Re: Which CPLD vendor to choose today?
« Reply #24 on: April 15, 2015, 02:23:15 pm »
She's complaining that 240 logic cell devices are too large.  I think that Spartan is probably even bigger than what she wants.
Have You Been Triggered Today?
 


Share me

Digg  Facebook  SlashDot  Delicious  Technorati  Twitter  Google  Yahoo
Smf