Author Topic: Studying schematics is useless?  (Read 2579 times)

0 Members and 1 Guest are viewing this topic.

Offline SolaresTopic starter

  • Contributor
  • Posts: 24
  • Country: ie
Studying schematics is useless?
« on: July 15, 2020, 09:06:53 pm »
For the book, "Digital Design" by Nicholas Pappas (2019) the Amazon description of the book has a very interesting comment:

"To be fair, the contents of this text are only a beginning, because modern digital circuits are assemblies of thousands of logic gates where the traditional schematic is essentially useless. Consequently traditional gate level circuit design, the schematic capture method, of a thousand gate circuit is impractical"

So, are electronic engineers who study the schematic of an electronic circuit just wasting their time?
 

Offline TimFox

  • Super Contributor
  • ***
  • Posts: 7963
  • Country: us
  • Retired, now restoring antique test equipment
Re: Studying schematics is useless?
« Reply #1 on: July 15, 2020, 09:14:37 pm »
One could state that studying the schematic of a "thousand gate circuit" is a waste of time.
However, studying the schematics of classic test equipment by Hewlett-Packard and others can be very educational, since the analog tricks are encoded in the schematic.
 
The following users thanked this post: cdev

Offline SolaresTopic starter

  • Contributor
  • Posts: 24
  • Country: ie
Re: Studying schematics is useless?
« Reply #2 on: July 15, 2020, 09:28:58 pm »
Ok, what do you mean by "analog tricks" Tim?
 

Offline rstofer

  • Super Contributor
  • ***
  • Posts: 9892
  • Country: us
Re: Studying schematics is useless?
« Reply #3 on: July 15, 2020, 09:37:33 pm »
The comment was about "digital circuits" and a simple CPU/peripherals project in an FPGA might take a couple of hundred thousand gates.  At the gate level, there's too much detail so we step up to 'blocks' (components or entities) and design the entities separately and then interconnect them at a higher level.

That is the whole point of using a high level language to describe digital systems.  They have reached a point where the forest is too large to examine trees.

Take a simple Arduino project.  You care about what connects to the pins and you care about how the code causing those pins to change state.  You do not care about the internal architecture of the CPU at the chip level.  Even if you are coding directly to hardware, you have a description of the inputs and outputs but need to know almost nothing about how it is implemented.

Most other electronics will fit in a single schematic and knowing how to read one is required.  Of course you need to know how a common emitter amplifier works and there is no possible way to work around electronics for very long before you run into op amp circuits.  You may never need to know how the wizards built the internals but you care very much about the inputs and outputs.  Those are shown on the schematic.
 

Offline dcbrown73

  • Regular Contributor
  • *
  • Posts: 112
  • Country: us
Re: Studying schematics is useless?
« Reply #4 on: July 15, 2020, 09:37:44 pm »
For the book, "Digital Design" by Nicholas Pappas (2019) the Amazon description of the book has a very interesting comment:

"To be fair, the contents of this text are only a beginning, because modern digital circuits are assemblies of thousands of logic gates where the traditional schematic is essentially useless. Consequently traditional gate level circuit design, the schematic capture method, of a thousand gate circuit is impractical"

So, are electronic engineers who study the schematic of an electronic circuit just wasting their time?

I'm going to guess they mean studying IC circuits.   For instance, unless you are building processors.  Studying the 100 million+ logic gates on a Intel CPU is probably not going to help you.

That said, studying schematics of your radio amplifier or power supply can be extremely helpful if that is what you want to build / repair.
Why exactly do people feel I should have read their post before I responded?  As if that was necessary for me to get my point across.
 

Offline rstofer

  • Super Contributor
  • ***
  • Posts: 9892
  • Country: us
Re: Studying schematics is useless?
« Reply #5 on: July 15, 2020, 09:56:14 pm »
Just to show how useless schematic entry is for FPGAs, Xilinx's new Vivado tool doesn't include it any longer.  You used to be able to do schematic entry in the predecessor ISE but no longer.

Here I create a 16 bit Instruction Register for a CPU implemented in an FPGA
Code: [Select]
    signal  IR              : std_logic_vector(15 downto 0);

Each bit will be a D flip-flop so 16 of those.  Each D flop will probably use 4 NAND gates and an inverter so 64 NAND gates and  16 inverters.  That takes a lot of space on a schematic.  Imagine you have a dozen such registers in a CPU - now you're up around 768 NAND gates and I can declare them all with the same line of code above by just adding more names.  Or I can declare them individually.

There's a reason digital circuits are designed in code using VHDL, Verilog or SystemVerilog for the language.


« Last Edit: July 15, 2020, 10:02:00 pm by rstofer »
 

Offline Circlotron

  • Super Contributor
  • ***
  • Posts: 3186
  • Country: au
Re: Studying schematics is useless?
« Reply #6 on: July 15, 2020, 10:06:48 pm »
A schematic to an electronics person is like sheet music to a musician. You can get by without them, but only to a certain point. They are very useful if you want to communicate your ideas to someone else.
 
The following users thanked this post: Solares

Offline tszaboo

  • Super Contributor
  • ***
  • Posts: 7407
  • Country: nl
  • Current job: ATEX product design
Re: Studying schematics is useless?
« Reply #7 on: July 15, 2020, 10:11:59 pm »
Yes, learning how to do a circuit with a thousand gates is near useless. Learn how to do the basic circuits, like adder or a mux. If your circuit becomes large, it is impractical to show and describe it on the logic gate level, and they will do some sort of abstraction. In which case, you will see a mux and or an adder (as an example), not gates.
 
The following users thanked this post: Solares

Offline Ian.M

  • Super Contributor
  • ***
  • Posts: 12875
Re: Studying schematics is useless?
« Reply #8 on: July 15, 2020, 10:54:04 pm »
Even gates are an abstraction, internally built of transistors, and in older technologies, diodes and/or polysilcon resistors.  If it was the convention to show every IC as the  transistor level schematic of its internals, there'd be lot fewer dumb NE555 projects on the WWW!

Studying IC internal schematics is useless, unless you need to dive deeper than the 'black box' abstraction level, e.g.to gain insight into observed behaviour not documented in the datasheet.
« Last Edit: July 15, 2020, 10:59:57 pm by Ian.M »
 
The following users thanked this post: Solares

Offline rstofer

  • Super Contributor
  • ***
  • Posts: 9892
  • Country: us
Re: Studying schematics is useless?
« Reply #9 on: July 15, 2020, 10:59:41 pm »
Figure C.4 is the block diagram of a RISC processor known as the LC3.  VHDL code would be written directly from this diagram in terms of entities and interconnects.  The same for the other 2 languages as well.

Not a single gate is shown.  Instead, big blocks like adders and multiplexers are shown with bus type connections where a single line represents a bus signal as much as 16 bits wide, some narrower.  The control signals will be somewhat narrower but not necessarily just 1 bit.

The state diagram (figure C.2) translates almost exactly into a high level language like VHDL for generating the control signals.

Both diagrams together provide enough information to recreate the machine.  It is probably necessary to understand the instruction set in order to get the operations correct.  Nevertheless, this is a simple project once you break it down into pieces.

And not a single gate shown!

http://users.ece.utexas.edu/~patt/05f.360N/handouts/360n.appC.pdf


FWIW, I implemented to entire system in about 1700 lines of code including whitespace.  I'm sure that the better designers could reduce the line count significantly.
 

Offline langwadt

  • Super Contributor
  • ***
  • Posts: 4452
  • Country: dk
Re: Studying schematics is useless?
« Reply #10 on: July 15, 2020, 11:03:08 pm »
Just to show how useless schematic entry is for FPGAs, Xilinx's new Vivado tool doesn't include it any longer.  You used to be able to do schematic entry in the predecessor ISE but no longer.

Here I create a 16 bit Instruction Register for a CPU implemented in an FPGA
Code: [Select]
    signal  IR              : std_logic_vector(15 downto 0);

Each bit will be a D flip-flop so 16 of those.  Each D flop will probably use 4 NAND gates and an inverter so 64 NAND gates and  16 inverters.  That takes a lot of space on a schematic.  Imagine you have a dozen such registers in a CPU - now you're up around 768 NAND gates and I can declare them all with the same line of code above by just adding more names.  Or I can declare them individually.

There's a reason digital circuits are designed in code using VHDL, Verilog or SystemVerilog for the language.

and why most software is written in C etc. and not assembly
 

Offline hamster_nz

  • Super Contributor
  • ***
  • Posts: 2803
  • Country: nz
Re: Studying schematics is useless?
« Reply #11 on: July 16, 2020, 01:42:23 am »
I find reading old arcade game schematics to be mildly interesting. It is interesting to see what hops were jumped through to make video signals and sprites out of discrete logic.

It sort of bridges the worlds of system design and low-level logic. It's all quite obsolete though.

https://www.mikesarcade.com/arcade/manuals.html

This is unlike 8-bit home computers which are very dry. The minimum part counts and low cost make them far less interesting.
Gaze not into the abyss, lest you become recognized as an abyss domain expert, and they expect you keep gazing into the damn thing.
 
The following users thanked this post: HobGoblyn

Offline free_electron

  • Super Contributor
  • ***
  • Posts: 8518
  • Country: us
    • SiliconValleyGarage
Re: Studying schematics is useless?
« Reply #12 on: July 16, 2020, 01:50:53 am »
sure, until you have to design block where the signal path has equal runlengths and you need to draw it out ...

As for xilinx dropping the schematic entry : another reason not to use them .. ALTERA is much friendlier to use.
I never liked xilinx
- initially they only supported solaris. Altera already did windows.
- They only supported 3rd party synthesizers like Synopsis. Altera had built in.
- their stuff was dongle protected
- their download cables were horrifically expensive.

The cost of playing was very high for xilinx as compared to altera.





Professional Electron Wrangler.
Any comments, or points of view expressed, are my own and not endorsed , induced or compensated by my employer(s).
 

Offline langwadt

  • Super Contributor
  • ***
  • Posts: 4452
  • Country: dk
Re: Studying schematics is useless?
« Reply #13 on: July 16, 2020, 02:06:42 am »
sure, until you have to design block where the signal path has equal runlengths and you need to draw it out ...

As for xilinx dropping the schematic entry : another reason not to use them .. ALTERA is much friendlier to use.
I never liked xilinx
- initially they only supported solaris. Altera already did windows.
- They only supported 3rd party synthesizers like Synopsis. Altera had built in.
- their stuff was dongle protected
- their download cables were horrifically expensive.

The cost of playing was very high for xilinx as compared to altera.

you still live in the 90's?

 

Offline unitedatoms

  • Frequent Contributor
  • **
  • !
  • Posts: 324
  • Country: us
Re: Studying schematics is useless?
« Reply #14 on: July 16, 2020, 02:25:39 am »
... So, are electronic engineers who study the schematic of an electronic circuit just wasting their time? :..

True. There is a goldilocks zone of truth between limited capabilities of components and bloated circuit patterns where the clarity lives. Every contemporary schematics is not a worthy subject for study. It is just a overplayed or misinterpreted story of  someone trying tell an older story, origin of which is lost in history and long ago disconnected from true physics, deviated by economy of cost and has a layer of b$$$it marketing on top.
« Last Edit: July 16, 2020, 02:27:40 am by unitedatoms »
Interested in all design related projects no matter how simple, or complicated, slow going or fast, failures or successes
 

Offline free_electron

  • Super Contributor
  • ***
  • Posts: 8518
  • Country: us
    • SiliconValleyGarage
Re: Studying schematics is useless?
« Reply #15 on: July 17, 2020, 02:03:50 pm »
sure, until you have to design block where the signal path has equal runlengths and you need to draw it out ...

As for xilinx dropping the schematic entry : another reason not to use them .. ALTERA is much friendlier to use.
I never liked xilinx
- initially they only supported solaris. Altera already did windows.
- They only supported 3rd party synthesizers like Synopsis. Altera had built in.
- their stuff was dongle protected
- their download cables were horrifically expensive.

The cost of playing was very high for xilinx as compared to altera.

you still live in the 90's?

no , but their cables are still horribly expensive. and now dropping schematic ?
Xilinx has historically always been playing hard-to-get for the average kit.
Professional Electron Wrangler.
Any comments, or points of view expressed, are my own and not endorsed , induced or compensated by my employer(s).
 

Offline E-Design

  • Regular Contributor
  • *
  • Posts: 204
  • Country: us
  • Hardware Design Engineer
Re: Studying schematics is useless?
« Reply #16 on: July 17, 2020, 02:08:28 pm »
For the book, "Digital Design" by Nicholas Pappas (2019) the Amazon description of the book has a very interesting comment:

"To be fair, the contents of this text are only a beginning, because modern digital circuits are assemblies of thousands of logic gates where the traditional schematic is essentially useless. Consequently traditional gate level circuit design, the schematic capture method, of a thousand gate circuit is impractical"

So, are electronic engineers who study the schematic of an electronic circuit just wasting their time?

Its not a waste of time. Those books teach the fundamentals with easier to understand examples. The fact that the real world implements using FPGA's etc.. is just topic of implementation. And learning the fundamentals / basics is required if you are to be proficient in FPGA design. So how could this possibly be a waste of time?

The best FPGA designers understand logic gates, timing and hardware logic circuit concepts.
The worst FPGA designers feel that VHDL is just another programming language (it is NOT)

Which do you aspire to be?


« Last Edit: July 17, 2020, 02:13:09 pm by E-Design »
The greatest obstacle to discovery is not ignorance - it is the illusion of knowledge.
 

Offline SL4P

  • Super Contributor
  • ***
  • Posts: 2318
  • Country: au
  • There's more value if you figure it out yourself!
Re: Studying schematics is useless?
« Reply #17 on: July 19, 2020, 08:06:54 am »
There’s a missing concept here...
BLOCK DIAGRAMS, use lines to connect BLOCKS.
BLOCKS may contain smaller blocks - or eventually, sub circuits - that can, and usually should be documented with SCHEMATIC circuit diagrams.
Don't ask a question if you aren't willing to listen to the answer.
 

Offline TheUnnamedNewbie

  • Super Contributor
  • ***
  • Posts: 1208
  • Country: 00
  • mmwave RFIC/antenna designer
Re: Studying schematics is useless?
« Reply #18 on: July 19, 2020, 03:08:37 pm »
Damn, all electronics has to be digital now? And here I was working on my analog and RF circuits with a handful of transistors.

Also, while people rarely design 'digital' stuff by hand anymore (they do - high-speed stuff is still done by hand, and sometimes it's just easier to make a few flipflops by hand than to learn a digital design flow/buy the tool just to be able to set a few latches in your circuit) - someone has to come up with those gates your place-and-route tool will use. Some very common blocks of multiple gates can be done by hand as well, just to get a few ps faster propagation delay through them, which can quickly add up in a big complex chip.

People seem to often look at electronics like there are two extremes (esp when it comes to integrated circuits):

- on the one hand the full-on huge digital design, where you find people doing CPUs/GPUs/microprocessors, but also codecs and other hardware
- Or you are one of the voodoo magicians like me, spending months massaging your 20 transistors and inductors to give you just that 1 dB extra gain at 140 GHz

But there is a whole field of mixed-signal design, where you have very blury lines between digital and analog. Most would probably say digital I/O is digital - and I would probably agree with you if it is something like a 10 MHz output on an MCU. But what about a 200 MHz digital line? What about a 5 GBit/s PCIe line? What about a 112 GBit/s PAM4 output? At what point did it stop being a digital problem and start being an analog one?

Mixed signal is where the majority of interesting research and advances are being made right now. Digital design is still majorly driven by scaling - transistors get smaller and denser, how do we make better use of them for our next chip.

Analog performance in CMOS stopped really scaling around .35 or 180nm CMOS (or lower, depends where you draw the line). RF performance is optimal in CMOS around 65nm/45nm/28nm (depends on who's flavour of technology you use and what frequency you work at).

The best part about magic is when it stops being magic and becomes science instead

"There was no road, but the people walked on it, and the road came to be, and the people followed it, for the road took the path of least resistance"
 

Online Alex Eisenhut

  • Super Contributor
  • ***
  • Posts: 3350
  • Country: ca
  • Place text here.
Re: Studying schematics is useless?
« Reply #19 on: July 20, 2020, 02:27:55 am »
Studying IC internal schematics is useless,

Imagine the questions in the beginner section...

"Where do I get a transistor with five emitters?"
Hoarder of 8-bit Commodore relics and 1960s Tektronix 500-series stuff. Unconventional interior decorator.
 

Offline dmills

  • Super Contributor
  • ***
  • Posts: 2093
  • Country: gb
Re: Studying schematics is useless?
« Reply #20 on: July 20, 2020, 11:39:57 am »
Useless is over stating things, sometimes knowing the architecture of the doings directly connected to a pin is immensely valuable.

The details of the input stage on a charge transfer ADC for example do kind of matter to getting decent performance out of the thing, same thing with the architecture of the transceivers CML driver at 25Gbit/s, not that 25Gbit/s is ever meaningfully digital.

Electronic product design is a hierarchy of abstractions, all useful to a point and all possessing their limits, eventually you get to physics, then maths....

If working at a level where HDL is a truly useful abstraction then a gate level schematic is probably not useful (It is not what is really implemented anyway), while if you are the guy doing the layout or sweating the power or signal integrity then the HDL is mostly meaningless typing, you want the schematics and gerbers for your 2.5D finite element code.     

You use the tools that make sense for the level of abstraction at which you are working, while always keeping in mind what they will likely do at the next level down (And asking yourself if you would be better working at the next level up for this bit...).

 
The following users thanked this post: Solares

Offline SolaresTopic starter

  • Contributor
  • Posts: 24
  • Country: ie
Re: Studying schematics is useless?
« Reply #21 on: July 20, 2020, 12:13:18 pm »
Thanks. Those responses have been enlightening!
 

Offline chris_leyson

  • Super Contributor
  • ***
  • Posts: 1541
  • Country: wales
Re: Studying schematics is useless?
« Reply #22 on: July 20, 2020, 12:41:32 pm »
Quote
I find reading old arcade game schematics to be mildly interesting. It is interesting to see what hops were jumped through to make video signals and sprites out of discrete logic.
The Cinematronics 12-bit processor was all TTL, a really nice design.
 

Offline magic

  • Super Contributor
  • ***
  • Posts: 6807
  • Country: pl
Re: Studying schematics is useless?
« Reply #23 on: July 20, 2020, 01:36:14 pm »
Imagine the questions in the beginner section...

"Where do I get a transistor with five emitters?"
There are such questions every now and then :)
 

Offline TimFox

  • Super Contributor
  • ***
  • Posts: 7963
  • Country: us
  • Retired, now restoring antique test equipment
Re: Studying schematics is useless?
« Reply #24 on: July 20, 2020, 01:39:28 pm »
I agree with dmills above.  I often needed to look at the equivalent schematic of a digital input or output to understand how to interface it to a different circuit, such as a switch, LED, discrete semiconductor, or different-construction logic device.
 


Share me

Digg  Facebook  SlashDot  Delicious  Technorati  Twitter  Google  Yahoo
Smf