Author Topic: Lattice Diamond verbose synthesis engine errors  (Read 1590 times)

0 Members and 1 Guest are viewing this topic.

Offline lk.dgironiTopic starter

  • Regular Contributor
  • *
  • Posts: 76
  • Country: it
Lattice Diamond verbose synthesis engine errors
« on: January 20, 2023, 09:25:54 am »
Hello,

I'm working on a ECP5 project.
I'm using Lattice Diamond 64bit 3.12.1.454 on Windows 10 x64.
I usually write it in Verilog, using LSE as synthesizer.
It happens that I mistype something. Even something simple like a wire without the ending.
When it happens the only error i get on Output tab is something like:
-- Verilog file '___filefullpath___.v' ignored due to errors (VERI-1483)
ERROR - Failed to analyze design file '___filefullpath___.v'.
Done: error code 2

Error, Warning, Info tab does not help.
I'm wondering if there's some setting I can use to enable a higher verbose to catch the error.

Thanks!
 

Offline ale500

  • Frequent Contributor
  • **
  • Posts: 415
Re: Lattice Diamond verbose synthesis engine errors
« Reply #1 on: January 20, 2023, 10:32:09 am »
While I normally avoid LSE and use synplify instead (produces smaller designs), its default error reporting can be sketchy too. In such cases, I run synplify manually from the tools menu, it provides more verbosity and describes those "error 9" enough that I find what I misspelled or borked.
 

Offline lk.dgironiTopic starter

  • Regular Contributor
  • *
  • Posts: 76
  • Country: it
Re: Lattice Diamond verbose synthesis engine errors
« Reply #2 on: January 20, 2023, 11:24:51 am »
Thanks!
One question about Synopsys. As far as i know Symplify is not free. Am i right?

~ Previously reply with my other account, my mistake ~
 

Offline ale500

  • Frequent Contributor
  • **
  • Posts: 415
Re: Lattice Diamond verbose synthesis engine errors
« Reply #3 on: January 20, 2023, 02:48:15 pm »
Diamond has both of them, and synplify (yeah, with 'n') is free with it.
 

Offline lk.dgironiTopic starter

  • Regular Contributor
  • *
  • Posts: 76
  • Country: it
Re: Lattice Diamond verbose synthesis engine errors
« Reply #4 on: January 20, 2023, 02:52:00 pm »
Thank you, I thought it was an on fee component.
I'll try it!

... (yeah, with 'n') ... one of the typo error I was speaking about :) LOL
 

Offline SiliconWizard

  • Super Contributor
  • ***
  • Posts: 14475
  • Country: fr
Re: Lattice Diamond verbose synthesis engine errors
« Reply #5 on: January 20, 2023, 08:32:33 pm »
Yes, both are free to use in Diamond. LSE has some benefits in some specific cases, it's also usually faster. Pick what works best for you.

Lattice is one of the few (if the only one?) vendors to provide both Synplify and their own synthesis engine, for free.
Most others either provide one or the other.
 


Share me

Digg  Facebook  SlashDot  Delicious  Technorati  Twitter  Google  Yahoo
Smf