Author Topic: Lattice has silently removed free iCEcube2 license and now charging $471  (Read 4215 times)

0 Members and 1 Guest are viewing this topic.

Offline ErikSwanTopic starter

  • Newbie
  • Posts: 3
  • Country: us
Hi everyone,

This is my first post here but I wanted to make you guys aware of this and hopefully apply some community pressure to Lattice to reverse this decision.

In short, Lattice has removed the free license for iCEcube2 (used for developing for the iCE40 line of FPGAs) and is now charging $471.31 for a one year license and $353.15 to renew each additional year:
https://alchitry.com/news/icecube2-no-longer-free/  |O

This is probably chump change for a company that is using the iCE40 line in a shipping product, but it's a huge blow for the hobbyist and student community where iCE40 development boards were some of the most simple and affordable development boards you could get for learning about FPGAs.

Personally, I had just purchased Russell Merrick's book "Getting Started with FPGAs" and his nandland Go board for a family member who was interested in learning about FPGAs and digital design, only to find out that there's no way to follow the projects in the book and develop for the board using the official toolchain without paying $471.31 for an iCEcube2 license.

Unfortunately, it seems like Lattice has a history of this (1, 2), so my hopes for them reversing this change are not high.

But I would ask that if you are willing, please send an email to general_inquiries@latticesemi.com to express your disappointment about this decision and explain how this change destroys the ecosystem of beginner development boards and books based around the iCE40 line.

Perhaps if Lattice gets enough negative feedback they will reverse the decision or at least make an free license option available for students and individuals.
 

Offline amwales

  • Regular Contributor
  • *
  • Posts: 81
  • Country: gb
bugger, I received a couple of the ice40 dev boards for christmas a couple of years ago, after getting an led lighting up I put it away to play with at some later date, looks like it's going to be garbage now, such a shame :(
 

Online Andy Watson

  • Super Contributor
  • ***
  • Posts: 2087
I thought the low-end Lattice FPGAs were supported by open-source software?
https://clifford.at/icestorm

Even Lattice acknolege the existence of open-source development boads:
https://www.latticesemi.com/en/Solutions/Solutions/SolutionsDetails01/CommunitySourced
perhaps that's why they pulled the plug on offering their own software for free.
« Last Edit: April 19, 2024, 08:07:30 pm by Andy Watson »
 

Offline ErikSwanTopic starter

  • Newbie
  • Posts: 3
  • Country: us
I thought the low-end Lattice FPGAs were supported by open-source software?

They are, but the tools are unofficial and not really suitable for beginners.

For one, the official GitHub project has only a single link in the README which goes to a broken URL. If you manage to find what seems to be the best reference page at the moment (never mind that it's on a website filled with gambling advertisements and SEO spam) you'll find that to use the toolchain you need to clone each tool's source from GitHub, build them from source, and work through the build errors and dependency hell on your choice of Linux or macOS (Windows not supported). Then if you get the tools built you'll need to consult the documentation of 3 or 4 different tools to figure out the right command line options to run synthesis, place and route, bitstream packing, and programming for your development board.

Not a huge deal for an experienced user with the time to figure it out and get everything up and running, but it's absolutely not a replacement for iCEcube2 for someone who just bought their first FPGA dev board and a "Getting started with FPGAs" book.
« Last Edit: April 19, 2024, 08:39:27 pm by ErikSwan »
 

Offline shabaz

  • Regular Contributor
  • *
  • Posts: 157
This is really disappointing news if this yearly charge applies.
The open source tools do not support VHDL as far as I'm aware, so that's not an option for some.
 

Online mikeselectricstuff

  • Super Contributor
  • ***
  • Posts: 13757
  • Country: gb
    • Mike's Electric Stuff
They did the same a few years ago for ISPLever software for the EC series and CPLDs. I suspect they have to pay for licensing of some parts of the software, but seems a pretty dumb move to charge for software to use their low-end parts
Youtube channel:Taking wierd stuff apart. Very apart.
Mike's Electric Stuff: High voltage, vintage electronics etc.
Day Job: Mostly LEDs
 

Offline SiliconWizard

  • Super Contributor
  • ***
  • Posts: 14506
  • Country: fr
This is really disappointing news if this yearly charge applies.
The open source tools do not support VHDL as far as I'm aware, so that's not an option for some.

Yes it does! There's a GHDL plugin for Yosys that handles VHDL, and it works pretty well.
 
The following users thanked this post: shabaz

Offline SiliconWizard

  • Super Contributor
  • ***
  • Posts: 14506
  • Country: fr
If this is Lattice's strategy, unfortunately we might see the same happening with Diamond within maybe just a few years, as Diamond has clearly stopped being developed and they are implementing the support of all their new parts in Radiant.
So, people using MachXO/2/3 and ECP5, in particular, may end up in the same situation.
 

Offline asmi

  • Super Contributor
  • ***
  • Posts: 2733
  • Country: ca
Yeah all those cheap FPGA boards with their devices suddenly turned into pumpkins. I wonder why such a decision was made, as I can't really see them making any kind of serious money with that, but it's guaranteed to drive away customers and prospects alike... :palm:

That said, I always thought that their approach of supporting a whole bunch of different toolchains is kind of wasteful and unsustainable in the long term. It seems much more efficient and cheaper to have a single toolchain which supports all devices.
« Last Edit: April 19, 2024, 11:19:22 pm by asmi »
 

Offline cfbsoftware

  • Regular Contributor
  • *
  • Posts: 117
  • Country: au
    • Astrobe: Oberon IDE for Cortex-M and FPGA Development
... or at least make an free license option available for students ...

Quote
Academic License Program
We offer a 1-year Lattice Design tools license free for colleges and universities who meet our academic license program requirements. If you are a professor, and you’re interested in applying, please login to your Lattice account and fill out the application form here. If you are a student, please coordinate with your professor to join this program.
https://www.latticesemi.com/Support/Licensing
Chris Burrows
CFB Software
https://www.astrobe.com
 

Online mikeselectricstuff

  • Super Contributor
  • ***
  • Posts: 13757
  • Country: gb
    • Mike's Electric Stuff

That said, I always thought that their approach of supporting a whole bunch of different toolchains is kind of wasteful and unsustainable in the long term. It seems much more efficient and cheaper to have a single toolchain which supports all devices.
Maybe, but once an old toolchain is stable and unlikely to need adding new devices of the families it supports, it may be cheaper to just leave it alone and not risk breaking it adding a new device family.
ISTR ICE40 was originally bought from another vendor (Siliconblue?), so that may also be a factor.

Given the choice between completely abandoning an old tool and charging for it, the latter is  probably preferable, though charging for a tool for devices which are still current and not superceded seems shortsighted.
Youtube channel:Taking wierd stuff apart. Very apart.
Mike's Electric Stuff: High voltage, vintage electronics etc.
Day Job: Mostly LEDs
 

Offline fourtytwo42

  • Super Contributor
  • ***
  • Posts: 1185
  • Country: gb
  • Interested in all things green/ECO NOT political
I gave up on them years ago when the did the same with ispLever, no more design ins from me, stupid people  :palm:
 

Offline ErikSwanTopic starter

  • Newbie
  • Posts: 3
  • Country: us
Quote
Academic License Program
We offer a 1-year Lattice Design tools license free for colleges and universities who meet our academic license program requirements. If you are a professor, and you’re interested in applying, please login to your Lattice account and fill out the application form here. If you are a student, please coordinate with your professor to join this program.
https://www.latticesemi.com/Support/Licensing

This is great for students that are already in a EE/CE program, but it's very limited - it doesn't include high school students, elementary school students, general hobbyists who may be out of school or in school for something other than EE/CE, etc.

Thankfully, there is a little bit of hope - Russell Merrick, the nandland.com guy, has been in contact with Lattice and is trying to get them to reverse this change:
https://www.reddit.com/r/FPGA/comments/1c7zy49/icecube2_no_longer_free_now_47131/l0ctmsu/
 

Offline djsb

  • Frequent Contributor
  • **
  • Posts: 894
  • Country: gb
« Last Edit: April 20, 2024, 08:24:45 pm by djsb »
David
Hertfordshire,UK
University Electronics Technician, London PIC,CCS C,Arduino,Kicad, Altium Designer,LPKF S103,S62 Operator, Electronics instructor. Give a man a fish and you feed him for a day. Teach a man to fish and you feed him for a lifetime. Credited Kicad French to English translator.
 

Offline ConKbot

  • Super Contributor
  • ***
  • Posts: 1386
Do they at least have the decency to support or update it if they are charging for it?last time I checked IceCube2 was basically dead/unsupported/not touched in a while. I took that as a "we have support guarantees to sustain the older ICE40 line of parts, but you probably shouldn't design them into new things"
 

Online langwadt

  • Super Contributor
  • ***
  • Posts: 4444
  • Country: dk
They did the same a few years ago for ISPLever software for the EC series and CPLDs. I suspect they have to pay for licensing of some parts of the software, but seems a pretty dumb move to charge for software to use their low-end parts

are there clones of the iCE40? if so, maybe they just don't want to be supplying free tools to people that don't use their parts?
 

Offline SiliconWizard

  • Super Contributor
  • ***
  • Posts: 14506
  • Country: fr
Do they at least have the decency to support or update it if they are charging for it?last time I checked IceCube2 was basically dead/unsupported/not touched in a while. I took that as a "we have support guarantees to sustain the older ICE40 line of parts, but you probably shouldn't design them into new things"

I don't think so. And I agree that charging for software that is basically abandonware is a scam.
 

Online BrianHG

  • Super Contributor
  • ***
  • Posts: 7764
  • Country: ca
Google 'lattice semiconductor financials'

Sadly, they are large enough that the very few who will actually dish out $471 wont help the company in any meaningful way, maybe just trying to raise their quarterly financials.  They are performing just ok enough that they might soon be bought out.

Looking at the past 5 years, 2023 began with a boom for Lattice, then something happened back in November 2023 where it appears they almost lost everything they gained the years prior.  Now it looks like Lattice is trying to get back to where they were a year ago...

Lattice Stock last 5 years

In an effort to punch up their value for 2023, somehow Lattice for the first time offloaded a ton of debt and liability seen here:

Lattice Financials

They must have done something quick to inflate their stock value.
« Last Edit: April 22, 2024, 04:07:20 am by BrianHG »
 

Offline c64

  • Frequent Contributor
  • **
  • Posts: 300
  • Country: au
How did it work before? For how long was a free license valid? Did it require internet connection to run?
 

Online mikeselectricstuff

  • Super Contributor
  • ***
  • Posts: 13757
  • Country: gb
    • Mike's Electric Stuff
How did it work before? For how long was a free license valid? Did it require internet connection to run?
From memory in the case of ISPLever, I think it was a free renewal every 6 months or a year, fairly sure it only needed internet connection for renewal so  potentially amenable to clock manipulation hacks.
Youtube channel:Taking wierd stuff apart. Very apart.
Mike's Electric Stuff: High voltage, vintage electronics etc.
Day Job: Mostly LEDs
 

Offline dferyance

  • Regular Contributor
  • *
  • Posts: 183
It is entirely a guess but it might be due to accounting methodology. I've seen quite a few times in my career a department or portion of the company having its cost compared to the direct revenue it generates. However, figuring out the overall revenue it provides to the company is much harder to calculate so it isn't done. This results in the numbers looking really bad. Software development at a hardware company usually has this problems. IT departments are even worse. You add up software license revenue and compare it to development costs and it looks very bad. But you wouldn't be selling any hardware without the software... doesn't matter, this isn't calculated so it doesn't count.

So it could be an effort to reduce this gap. The cost to Lattice in terms of future hardware sales by not having freely licensed software isn't easily measured. But software license sales are easy to measure and count. Having hard numbers are much better when defending your decisions to your boss or investors.
 

Offline SiliconWizard

  • Super Contributor
  • ***
  • Posts: 14506
  • Country: fr
How did it work before? For how long was a free license valid? Did it require internet connection to run?

Lattice licensing generally speaking is based on license files that must be renewed every year. You don't need an internet connection to run the tools, but you need one to ask for the license - they send it by mail.
 

Offline asmi

  • Super Contributor
  • ***
  • Posts: 2733
  • Country: ca
How did it work before? For how long was a free license valid? Did it require internet connection to run?

Lattice licensing generally speaking is based on license files that must be renewed every year. You don't need an internet connection to run the tools, but you need one to ask for the license - they send it by mail.
Technically you can request a license from any device, it doesn't have to be the actual target pc - as long as you know the MAC address, that's all you needed. So it's possible to use the license on a totally offline/isolated pc.


Share me

Digg  Facebook  SlashDot  Delicious  Technorati  Twitter  Google  Yahoo
Smf