Author Topic: GOWIN FPGA  (Read 33677 times)

0 Members and 1 Guest are viewing this topic.

Offline ali_asadzadehTopic starter

  • Super Contributor
  • ***
  • Posts: 1908
  • Country: ca
Re: GOWIN FPGA
« Reply #50 on: August 31, 2019, 10:34:29 am »
Thanks blueskull :-+
Do you have Altium Lib's too? ;)
ASiDesigner, Stands for Application specific intelligent devices
I'm a Digital Expert from 8-bits to 64-bits
 

Offline thmjpr

  • Regular Contributor
  • *
  • Posts: 175
  • Country: ca
Re: GOWIN FPGA
« Reply #51 on: October 25, 2019, 06:11:18 am »
New sipeed Tang Nano, preorder:

https://www.seeedstudio.com/Sipeed-Tang-Nano-FPGA-board-powered-by-GW1N-1-FPGA-p-4304.html

Quote
    GW1N-1-LV:1152 LUT4, 864 FF(Flip-Flop)
    72K B-SRAM(bits); 96K user flash(bits); 1 PLL
    Support a 5 inches 800*480 screen
    Onboard JTAG Downloader
    Not support for DSP
    Small size, low cost
 

Offline bsccara

  • Contributor
  • Posts: 20
  • Country: pt
Re: GOWIN FPGA
« Reply #52 on: October 25, 2019, 10:58:52 am »
It seems LCSC no longer carries GOWIN chips.
 

Offline EverydayMuffin

  • Regular Contributor
  • *
  • Posts: 66
  • Country: ie
Re: GOWIN FPGA
« Reply #53 on: October 26, 2019, 04:59:58 pm »
The franchised GOWIN Distributors are listed here:
https://gowinsemi.com/en/about/distributor/

European Distributors below:

Russia
Website:  http://vostok-24.ru
Website:  www.icgamma.ru

UK & Ireland
Website: https://www.epsglobal.com

Belgium
Website: https://alcom.be

Netherlands
Website: https://alcom.nl/

Israel
Website: http://www.eldis.co.il/
 

Offline gnuarm

  • Super Contributor
  • ***
  • Posts: 2227
  • Country: pr
Re: GOWIN FPGA
« Reply #54 on: November 01, 2020, 05:53:04 pm »
Quote
Based on what quantity? Tx!
Around 100 to 1K units, also I think the sample prices is the same too.
They are chinese non-US company, they usually charge very low, the volume is effective in more than 10K units I believe, under 10K everything is the same price, almost no matter the volume ;) Not to mention I could get samples for free ;D

Also I believe the price matters where you live :)

If by "where" you mean what country, I expect that is true.  I've received quotes on several devices GW1N-4 and -9 in packages from 48QFN, 88QFN and 100QFP, all great!  I think the -4 was $3-$4 and the -9 was more like $5.  I'm working an open source ventilator project and this device is going to work well.  It does make some of the team members worried.  I guess they just can't handle anything that isn't X or A... I mean X or I.  I've only used L over the last 12 years and am very happy with the results.  I expect to be very happy with G now.  I'm looking forward to seeing G show up at Digikey and Mouser.
Rick C.  --  Puerto Rico is not a country... It's part of the USA
  - Get 1,000 miles of free Supercharging
  - Tesla referral code - https://ts.la/richard11209
 

Online dmendesf

  • Frequent Contributor
  • **
  • Posts: 320
  • Country: br
Re: GOWIN FPGA
« Reply #55 on: November 01, 2020, 07:46:44 pm »
 

Offline ebclr

  • Super Contributor
  • ***
  • Posts: 2328
  • Country: 00
Re: GOWIN FPGA
« Reply #56 on: November 01, 2020, 08:52:58 pm »
If 200 pcs is high for you, still on Altera / Xilinx no business for you on gowin
 

Offline gnuarm

  • Super Contributor
  • ***
  • Posts: 2227
  • Country: pr
Re: GOWIN FPGA
« Reply #57 on: November 03, 2020, 08:57:35 pm »
They're already stocked at Mouser:
https://br.mouser.com/GOWIN-Semiconductor/Semiconductors/Programmable-Logic-ICs/_/N-3oh8v?P=1y7ff8h

Wow, the prices at Mouser are a lot higher than the other Distis quoted.  I know where to buy them when the time comes.
Rick C.  --  Puerto Rico is not a country... It's part of the USA
  - Get 1,000 miles of free Supercharging
  - Tesla referral code - https://ts.la/richard11209
 

Online dmendesf

  • Frequent Contributor
  • **
  • Posts: 320
  • Country: br
Re: GOWIN FPGA
« Reply #58 on: November 04, 2020, 02:16:10 am »
Yes, but does the others sells just a few units for prototyping? (really interested if they do)
 

Offline gnuarm

  • Super Contributor
  • ***
  • Posts: 2227
  • Country: pr
Re: GOWIN FPGA
« Reply #59 on: December 13, 2020, 04:03:16 pm »
Yes, you'll have to go though some qualification possibly.  But if you want some parts I can get them for you.  I'm using the GW1N-UV9LQ100C5/I4 on a project and am on their RADAR.  I'll be ordering a few soon.  If anyone would like some I can get extras.
Rick C.  --  Puerto Rico is not a country... It's part of the USA
  - Get 1,000 miles of free Supercharging
  - Tesla referral code - https://ts.la/richard11209
 

Offline gnuarm

  • Super Contributor
  • ***
  • Posts: 2227
  • Country: pr
Re: GOWIN FPGA
« Reply #60 on: December 13, 2020, 04:09:21 pm »
Here's a thought.  I've been thinking for some time of producing an FPGA eval board and the Gowin devices seem just the ticket.  What if I designed a blank board based on the design we are doing on a project I'm in and had them assembled?  Would people be interested in buying either the bare board or the assembly? 

I guess it would be something like the Trenz board with a programming FTDI chip (which is more expensive than the Gowin part, lol) and a DIP like pin out so you could use it as a module in your design.
Rick C.  --  Puerto Rico is not a country... It's part of the USA
  - Get 1,000 miles of free Supercharging
  - Tesla referral code - https://ts.la/richard11209
 

Offline ali_asadzadehTopic starter

  • Super Contributor
  • ***
  • Posts: 1908
  • Country: ca
Re: GOWIN FPGA
« Reply #61 on: December 14, 2020, 06:27:15 am »
blueskull , Thanks for sharing :-+
ASiDesigner, Stands for Application specific intelligent devices
I'm a Digital Expert from 8-bits to 64-bits
 

Offline Kartman

  • Contributor
  • Posts: 16
  • Country: au
Re: GOWIN FPGA
« Reply #62 on: December 18, 2020, 12:46:28 pm »
I just got myself a tang nano board. Managed to get the tools loaded and working - small problem - I can't figure out how to get the bitstream into flash so it works from power-up. I can load it into sram, but it disappears next power cycle.

Can someone tell me the magic incantation required to have it so that the device runs from power up?
 

Offline vstrakh

  • Contributor
  • Posts: 22
  • Country: ua
Re: GOWIN FPGA
« Reply #63 on: December 18, 2020, 07:01:29 pm »
Double-click in the "Operation" column, select Access mode as "Embedded flash", operation to desired one (erase/program).
Make sure the cable's settings (Edit->Cable Settings-Cable) has 2.5 MHz for frequency.
There were different versions of the programming cables, but generic programmer tool by default sets the frequency of 2MHz that is incompatible with Tang Nano.
 
The following users thanked this post: Kartman

Offline Kartman

  • Contributor
  • Posts: 16
  • Country: au
Re: GOWIN FPGA
« Reply #64 on: December 19, 2020, 12:01:36 am »
Many thanks. The secret was the speed - yes it was set to 2MHz. Set to 2.5MHz - all good. I spun my wheels for about an hour and was thinking 'it's got to be something simple!', so I figured the forum was the place to go.
 

Offline gnuarm

  • Super Contributor
  • ***
  • Posts: 2227
  • Country: pr
Re: GOWIN FPGA
« Reply #65 on: January 22, 2021, 01:55:20 am »
I just got myself a tang nano board. Managed to get the tools loaded and working - small problem - I can't figure out how to get the bitstream into flash so it works from power-up. I can load it into sram, but it disappears next power cycle.

Can someone tell me the magic incantation required to have it so that the device runs from power up?

Glad you got the flash working.  What did it do when you had the speed wrong?  Did the programmer act happy and it just would not boot? 

I think someone mentioned (possibly in another thread as I can't find it) exactly how the Tang Nano appears to the drivers.  Is there a special driver required or does it show up like a serial port? 

The Nano uses a 1K Gowin part which is missing some of the features available in the larger devices.  It has no multipliers (DSP) and no Shadow SRAM which I think is what they call distributed RAM in other brands, the memory in the LUTs.  While both of these are also missing in the 2K LUT part (which isn't suprising since the 2K LUT part is mostly missing itself) the Shadow SRAM is also missing from the 4K LUT part.  You have to get a 9K LUT part to use the RAM in the LUTs.  I find that rather odd.  There are also differences in some functions depending on having the C revision or not.  Seems C revisions are all they are shipping now, but that is somewhat recent and there is nothing in the part number to indicate this.
Rick C.  --  Puerto Rico is not a country... It's part of the USA
  - Get 1,000 miles of free Supercharging
  - Tesla referral code - https://ts.la/richard11209
 

Offline gnuarm

  • Super Contributor
  • ***
  • Posts: 2227
  • Country: pr
Re: GOWIN FPGA
« Reply #66 on: January 22, 2021, 03:00:35 am »
There are also differences in some functions depending on having the C revision or not.  Seems C revisions are all they are shipping now, but that is somewhat recent and there is nothing in the part number to indicate this.

C version has better PLL, that's what I've been told by Gowin FAE.

There was something else having to do with the BRAMs or the DSP.  I checked the FAE email and he noted "We DO support Dual Port BSRAM with Revision C silicon of the GW1N-9/GW1NR-9/GW1NS-2/GW1NS-4 series" as well as saying C has faster speed grades.  No mention of the PLL. 

Still, what bugs me is the limited info on what the rev C features and lack of markings.  At least they assure they are only shipping the rev C at this time.  The trick is you need to know to select rev C in the tools to support the new features.

Also,  I don't get the lack of LUT RAM in most devices.  The -4 is shipping as rev C but the data sheet does not indicate it includes LUT RAM.  Their info is not all that good, maybe it's an oversight in the docs.  I don't see LUT RAM available for the -4 devices in the rev 1.9.7 tools, so they just don't have it in any device other than the -9.  Fortunately the -9 is still very affordable.  You don't save much downsizing to a -4 or even a -1 unless you are using many, many units, it won't matter much to use the -9.
Rick C.  --  Puerto Rico is not a country... It's part of the USA
  - Get 1,000 miles of free Supercharging
  - Tesla referral code - https://ts.la/richard11209
 

Offline gnuarm

  • Super Contributor
  • ***
  • Posts: 2227
  • Country: pr
Re: GOWIN FPGA
« Reply #67 on: January 22, 2021, 08:49:56 pm »
There was something else having to do with the BRAMs or the DSP.  I checked the FAE email and he noted "We DO support Dual Port BSRAM with Revision C silicon of the GW1N-9/GW1NR-9/GW1NS-2/GW1NS-4 series" as well as saying C has faster speed grades.  No mention of the PLL.

Interesting. It seems -C version for LittleBee is different than -C version for Arora. My parts of interest are GW2AR and GW2ANR.

One of my many complaints about Gowin is they don't make clear the many distinctions and the similarities between product lines.  The two main product lines have many differences even in the cell structure and the other IP like the DSP and BRAMs.  I guess it's not just the What that they don't make clear, but also the How/Why.  What is the intent of each line exactly? 
Rick C.  --  Puerto Rico is not a country... It's part of the USA
  - Get 1,000 miles of free Supercharging
  - Tesla referral code - https://ts.la/richard11209
 

Online Bud

  • Super Contributor
  • ***
  • Posts: 6929
  • Country: ca
Re: GOWIN FPGA
« Reply #68 on: January 23, 2021, 04:40:59 am »
I wonder how many employees are at Potato Semiconductor  ;D
Facebook-free life and Rigol-free shack.
 

Offline ali_asadzadehTopic starter

  • Super Contributor
  • ***
  • Posts: 1908
  • Country: ca
Re: GOWIN FPGA
« Reply #69 on: January 23, 2021, 08:25:00 am »
IF they go open, the opportunities would be endless
ASiDesigner, Stands for Application specific intelligent devices
I'm a Digital Expert from 8-bits to 64-bits
 

Offline dolbeau

  • Regular Contributor
  • *
  • Posts: 89
  • Country: fr
Re: GOWIN FPGA
« Reply #70 on: January 23, 2021, 09:32:13 am »
If Cadence gives me a free license or someone gives me a ported PDK for a low cost EDA tool, I can roll a GHz clock buffer in a few hours in an ancient 350nm process.

Dunno the EDA tool, but for the PDK would 130nm do ? SkyWater Open Source PDK :-)
 

Offline dolbeau

  • Regular Contributor
  • *
  • Posts: 89
  • Country: fr
Re: GOWIN FPGA
« Reply #71 on: January 23, 2021, 10:36:32 am »
I've been eye watering this for a while. Unfortunately it is still largely empty.

Standard cells are in external repositories. They claim "it should be usable for doing test chips", and they already had a call for the first MPW (multi project wafer) back in November...
 
The following users thanked this post: blueskull

Offline gnuarm

  • Super Contributor
  • ***
  • Posts: 2227
  • Country: pr
Re: GOWIN FPGA
« Reply #72 on: January 24, 2021, 12:54:25 am »
FYI, Trenz has two GOWIN boards on their website.

Trenz is a UK FPGA board mfg, covering all FPGA brands that sell to the public (sorry, no QuickLogic).

I thought they were German, but no matter.   What I didn't like is that on their web site the cost of shipping to the US is rather large.  Digikey is selling the Gowin board at the same price with much more reasonable shipping. 

    Sum:                  €28.13*
    Shipping costs:    €56.11*
    Total amount:      €84.24

Funny, I can't find this at Digikey now.  I wonder if this has anything to do with Gowin being labeled a CCMC (Communist Chinese Military Company) by the US government? 
Rick C.  --  Puerto Rico is not a country... It's part of the USA
  - Get 1,000 miles of free Supercharging
  - Tesla referral code - https://ts.la/richard11209
 

Offline ali_asadzadehTopic starter

  • Super Contributor
  • ***
  • Posts: 1908
  • Country: ca
Re: GOWIN FPGA
« Reply #73 on: January 24, 2021, 07:30:26 am »
Quote
Gowin also ceased selling their boards (and all parts) from their Chinese distributors, and this has been a while. Seems like they want to move to a direct sales system
I have not buy gowin recently, So where should we get the parts, ask them directly?
ASiDesigner, Stands for Application specific intelligent devices
I'm a Digital Expert from 8-bits to 64-bits
 

Offline ali_asadzadehTopic starter

  • Super Contributor
  • ***
  • Posts: 1908
  • Country: ca
Re: GOWIN FPGA
« Reply #74 on: January 24, 2021, 01:13:03 pm »
Quote
That's how I got mine.
That's great, are you in china right now? Because I want them in china too. what was the part and the price?
I'm interested in GW2AR and GW2ANR in QFN88 package >:D
ASiDesigner, Stands for Application specific intelligent devices
I'm a Digital Expert from 8-bits to 64-bits
 


Share me

Digg  Facebook  SlashDot  Delicious  Technorati  Twitter  Google  Yahoo
Smf